Category Archives: Resource Guide

Neon shortage coming


February 18, 2016

The current Neon demand is growing in “stealth mode” – hidden from the layman’s view because of significant factors only analysts fully versed in lithography, OLED/FPD and semiconductor device trends would catch. The traditional method of using historical data to predict future Neon demand will grossly underestimate future usage.

“Those who are basing their thinking on projections of historical Neon growth are in for a big surprise,” said TECHCET’s President/CEO, Lita Shon-Roy.   “Even with the recovery of the Neon supply chain, Neon conservation actions, and new sources in China, we predict that Neon demand will grow faster than Neon supply,” she added.

The largest and most rapidly growing Neon demand drivers are Lasik, OLED/FPD (displays) and DUV lithography. However, Neon gas consumed by DUV excimer laser gases is growing at a faster pace and represents more than 90% of world’s Neon consumption.

Semiconductor lithographic use of Neon is increasing more rapidly than expected for several reasons including the delay of EUVL while demand for finer line width patterning is increasing. In addition, new consumer related markets drive increased usage of legacy device processing. Each increase in the number of lithographic steps increases the need for more DUV lithography tools, and drives up the volume demand for Neon. This is true for V-NAND process flows, as well as DRAM and Logic devices dependent on multi-patterning.

Currently, the installed base of DUV lithography tools is ~ 4,400. In contrast, there have only been a dozen or so EUVL tools shipped through the end of 2015.

“The continued growth of DUV tools will push up demand for NEON beyond which supply can support,” cautioned Shon-Roy.

More details can be found from TECHCET’s latest Critical Materials Report on NEON Supply & Demand. Information will also be presented at the CMC Conference, scheduled for May 5-6, in Hillsboro, Oregon – this is the open forum portion of the Critical Materials Council meetings. For more information go to http://techcet.com/product/neon-a-supply-alert-report/ For more information on the CMC Conference please go to www.cmcfabs.org/seminars/

CMC Fabs is a membership based group that actively works to identify issues surrounding the supply, availability, and accessibility of semiconductor process materials, current and emerging, “Critical Materials.” CMC Fabs is managed by TECHCET CA LLC, a firm focused on Process Materials Supply Chains, Electronic Materials Technology Trends, and Materials Market Analysis for the Semiconductor, Display, Solar/PV, and LED Industries. The Company has been responsible for producing the SEMATECH Critical Material Reports since 2000.

Veeco Instruments Inc. announced today the launch of the new TurboDisc K475i Arsenic Phosphide (As/P) Metal Organic Chemical Vapor Deposition (MOCVD) System for the production of red, orange, yellow (R/O/Y) light emitting diodes (LEDs), as well as multi-junction III-V solar cells, laser diodes and transistors.

“Veeco continues to drive innovation with MOCVD technology that enables us to lower manufacturing costs and increase production with systems that are reliable, flexible and easy to use,” said Shuangxiang Zhang, General Manager of Yangzhou Changelight Co., Ltd.

According to research firm Strategies Unlimited, R/O/Y LED demand is expected to grow at a 10 percent compound annual rate through 2023. This demand for red, orange and yellow LEDs is being driven by signage, automotive, display and general lighting applications, as well as the emergence of new applications such as wearable smart devices.

Incorporating proprietary TurboDisc and Uniform FlowFlange MOCVD technologies, the new K475i system enables Veeco customers to reduce LED cost per wafer by up to 20 percent compared to alternative systems through higher productivity, best-in-class yields and reduced operating expenses.

Veeco’s proprietary Uniform FlowFlange technology produces films with very high uniformity and improved within-wafer and wafer-to-wafer repeatability resulting in the industry’s lowest cost of ownership. This patented technology provides ease-of-tuning for fast process optimization and fast tool recovery time after maintenance enabling the highest productivity for applications such as lighting, display, solar, laser diodes, pseudomorphic high electron mobility transistors (pHEMTs) and heterojunction bipolar transistors (HBTs).

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced that WIKA Group, a global leader in pressure, temperature and level measurement technology, has placed an EVG HERCULES lithography track system into production for manufacturing pressure sensor devices. The HERCULES system has already been installed and is in operation at WIKA’s fabrication headquarters in Klingenberg, Germany.

The EVG HERCULES system combines spray coat, development, wafer prime and bake/chill modules with a mask alignment and exposure tool in a fully automated production platform. To meet WIKA’s unique high-product-mix manufacturing needs, EVG has implemented several new features to this highly customized system. These include fully automated mask selection, handling and alignment capabilities, which allow users to keep the system in continuous operation while switching out substrate lots that require different geometry masks and carrier sizes for variable customer demands. This mode is also supported by optimized smart scheduling software, which automatically manages process recipes and ensures optimal process flow by pre-calculating the estimated process duration and time of transfer between process steps for each carrier substrate or lithography mask. The smart scheduling software ensures that critical process steps are carried out with repeatable, fixed durations, and can adjust to changes in material or process flow in real time. Benefits include improved process control, throughput optimization and productivity.

“Our business involves the lean production of a wide variety of specialized sensors that include many different materials and design features for customized requirements. As a result, we need manufacturing solutions that are stable, flexible and can be easily adapted to our diverse production needs,” stated Dr. Lorenz A. Kehrer, Sensor Development at WIKA. “EV Group has been our supplier of choice for lithography track systems, and adding their fully automated HERCULES system to our production flow allows us to increase manufacturing capacity and yield to meet the growing demand for our high-quality products from our versatile customers. EV Group’s expertise in providing world-class automated process solutions for MEMS and sensor manufacturing makes them an ideal partner to support our premium production needs.”

“EV Group’s integrated HERCULES system is a key component in our lithography product portfolio not only in the field of nanoimprint lithography but also for our MEMS customers applying photolithography processes,” stated Hermann Waltl, executive sales and customer support director at EV Group. “HERCULES leverages our expertise in mask alignment, resist processing, automation and software engineering to provide customers with a comprehensive future-proof lithography track solution for their volume production needs. Adoption of our lithography solutions, including HERCULES, has been driven not only by commercial applications such as advanced packaging and MEMS, but also by highly specialized applications where the customizable nature of our products coupled with our process and engineering expertise allows us to tailor our solutions to meet each of our customer’s unique requirements.”

Veeco Instruments Inc., a supplier of metal organic chemical vapor deposition (MOCVD) systems, announced today that it has signed a joint development project (JDP) agreement with imec, the Belgium-based nano-electronics research center. The collaboration is expected to accelerate the development of highly-efficient, Gallium Nitride (GaN) based, power electronic devices using GaN Epi wafers created using Veeco’s Propel Power GaN MOCVD system.

Imec has already demonstrated significant gains in GaN layer uniformity and run-to-run repeatability with Veeco’s Propel system, resulting in significantly improved power device yields. The single wafer reactor incorporates Veeco’s proprietary TurboDisc technology that delivers superior film uniformity, run-to-run control and defect levels compared to batch reactors.

“Within the framework of our industrial affiliation program on GaN-on-Si, Veeco and imec have collaborated over the last four years to improve the Epi quality of GaN layers deposited on silicon wafer substrates,” said Rudi Cartuyvels, Senior Vice President Smart Systems and Energy Technologies at imec. “The ultimate goal is to produce the next generation of highly efficient power switching devices. We have set very high GaN device yield and reliability targets for 2016 and we look forward to partnering with Veeco to achieve these targets.”

According to IHS research, industry requirements are growing and requiring smaller, more energy efficient power ICs. This, in turn, is driving the need for improved power devices using advanced materials. GaN-on-Si coupled with improved process solutions, such as single-wafer GaN MOCVD, are critical to the development of these improved power devices.

“We are very pleased with our imec collaboration,” said Jim Jenson, Senior Vice President and General Manager, Veeco MOCVD Operations. “Global demand for advanced power electronics with greater energy efficiency, a smaller form factor and greater reliability is rapidly accelerating. We believe that the technology in our Propel single wafer system will enable imec to achieve their power device targets and help to bring these advanced devices to market faster.”

How Texas Instruments got greener, safer and saved money.

BY STEVEN BALLANCE Texas Instruments, Dallas, TX, KARL OLANDER and JOE SWEENEY, Entegris, Billerica, MA

Over the last decade, considerable efforts have been put forth by manufacturers and suppliers to help reduce costs, consumption of natural resources, and where economically viable or by mandate, to become more green in fab operations. In the early 2000s, Texas Instruments (TI) outlined an opportunity to re-think its approach around one of the largest energy and cleanroom air consumption areas in the fab—ion implant operations.

In comparison to other manufacturing tools in the fab, ion implanters require the largest exhaust volume, typically using 2500 CFM in total ventilation, split between the gas box [400+ CFM] and the containment shell enclosure [2000+ CFM]. The energy cost to replace this volume of air equates to about $8,000 per tool and, with up to 30 implanters in a typical fab, the operating costs can reach up to $240K annually. In addition, the investment needed to replace this volume of clean, highly conditioned air is substantial and requires large infrastructure expenditures (FIGURE 1).

Ion Implant 1

In the late 2000s, TI provided the industry with a glimpse of what was possible around air handling and energy reduction in its implant centers. The initial concept, implementation and projected results had been years in the making and were first published in August, 2009 by Solid State Technology, as provided by Steve Russo, then a senior member of TI’s technical staff.

In the article, Russo explained the operating protocols for handling the highly toxic materials utilized in the ion implant process, which are traditionally stored within the tool itself. Now, after years of development and modification, a bigger picture, along with intriguing data, has emerged.

Recycling the shell exhaust

The 2009 article described how TI recycled the implanter shell exhaust within the fab, reducing the make-up air requirement by 80% [2000 CFM per tool]. Fab air is drawn through the implanter shell to dissipate heat from the process and provide dilution in the event of a process leak. This volume of air is treated as general exhaust, and traditionally expelled from the fab using blowers on the roof.

The successful implementation of the first phase, led to the recycle of the shell exhaust on more than 60 ion implant tools across three fabs without incident. Whereas the initial installation included ductwork to convey shell exhaust to the roof (if needed in an emergency), subsequent facilities were built on the premise of continuously returning the shell exhaust to the fab. In practice, the reconfigured exhaust systems amounted to a $57,000 capital cost avoidance per process tool. FIGURE 2 illustrates these cost savings projections.

Ion Implant 2

Recycling the shell exhaust has resulted in avoiding $1.7 million in capital for exhaust and make-up air infrastructure, as well as, reducing annual energy cost by $470,000. The lower energy usage equates to reduced CO2 emissions of 6,500 metric tons. FIGURE 3 illustrates the new design configuration for shell exhaust recycle.

Ion Implant 3

The role of sub-atmospheric pressure gas sources

In redesigning the implant exhaust configuration, Russo and his team he relied on using only the safest gas packaging technology— sub-atmospheric gas sources, or SAGS.

These packages deliver gases below atmospheric pressure, greatly reducing the likelihood of a gas leak and providing the basis to redirect the shell exhaust back into the fab.

It is interesting to note that around the same time Russo published his first article on his new design, the National Fire Protection Agency (NFPA) adopted the SAGS classification for gas packages into the standard. The NFPA classified gas packages that store and deliver gas sub-atmospherically as SAGS Type I and packages that store gas under pressure but deliver gas sub-atmospher- ically as SAGS Type II. Both SAGS systems share a common feature—they require a process vacuum in order to deliver the toxic gas, virtually eliminating accidental gas releases (FIGURE 4).

Ion Implant 4

The initial planning for re-configuring the shell exhaust system in the new design was done to take full advantage of the safety profile of the SAGS packages. Using traditional high pressure delivery systems in the new design wouldn’t have been prudent because of the higher gas leak potential and lower safety profile. Exclusively using SAGS technologies enabled the exhaust reduction program approach. Continuous efforts and success rely on doing everything possible to see that gas delivery is always sub-atmospheric and TI has taken precautions to ensure the gas delivery systems are consistently performing in this way.

Gas box exhaust reductions

The process of lowering implanter shell exhaust began over 12 years ago, and since then most TI tools have been fitted with this design. On its continued quest for reduced energy and costs, TI identified the gas box as being the next best opportunity.

The gas box exhaust, potentially containing hazardous materials, is sent through a scrubber before being released. Scrubbed (or acid) exhausts, therefore, consume more resources than shell exhaust and contribute more to the costs of fab operations.

Over the past few years, Texas Instruments and ATMI, now Entegris, providers of SAGS technologies, have teamed up to continue to look for efficiencies and safety measures in managing exhaust gas and energy usage in ion implant operations. After evaluating the energy reduction potential of the tool gas box exhaust, TI made modifications that led to reduced gas box exhaust rates of about 200 cfm, down from over 400 cfm. This resulted in an additional $800 savings per tool per year. Additional strategies to reduce gas box exhaust rates and improve overall safety are suggested below.

Building an integrated [smart] exhaust system

Today, ion implanters utilize dopant cylinders with manual valves that had their start when “lecture bottles” were first used 30 years ago—and space in the gas box was at a premium. Small cylinders and manual valves were standard. Even as solid source vaporizers were replaced, and the use of gases in larger cylinders became prevalent, the use of manual valves continued.

Interestingly, the Type 1 and Type 2 sub-atmospheric gas delivery cylinders used worldwide to supply implant dopant gases use manual valves. The presence of the manual valve presents a continuing risk because of the possibility of human error during installation and purging sequences which could result in a gas release, albeit small. Yet, there is still room to reduce risk and continue to improve safety through the application of “smart” solutions.

Ultimately, the cornerstone to minimizing the occurrence and impact of a gas leak is all about maintaining the system under sub-atmospheric conditions at all times. Operating under sub-atmospheric pressure entails the continuous monitoring of gas pressure(s) in the delivery manifolds and the ability to respond quickly if pre-set pressure thresholds are exceeded.

The use of normally closed pneumatic valves provides the means to isolate the toxic gas within the dopant cylinder should the delivery manifold deviate from sub-atmospheric pressure protocols. The normally closed condition also removes from consideration cases where valves are either poorly closed or over-torqued. Cylinder cycle purging can then be done automatically, more efficiently and without the possibility of backfilling purge gas into the cylinder.

Varying the gas box flow rate

The ability to minimize the smallest of leaks would allow the gas box to be exhausted as a function of actual risk as opposed to continuously operating at a rate needed to mitigate projected worst-case scenarios. Controlling the gas box exhaust rate using a two position damper is one possible solution.

A two-position damper can control the gas box exhaust in either a low or high flow mode. The normal or reduced exhaust condition is allowed when all of the dopant delivery cylinders are showing a sub-atmospheric pressure condition or all of the cylinder valves are closed. Interlocks initiate the high flow rate any time the gas box door is opened, such as during cylinder changes or maintenance periods, or when triggered by events such as toxic gas detection, smoke detector alarm or detection of a super-atmospheric pressure condition in the dopant delivery manifold. It is estimated that the exhaust system would operate in the low flow mode >95% of the time.

With SAGS, a nominal rate of 40 cfm can be sufficient to satisfy regulations providing a 90% reduction in gas box exhaust requirements.

Taking the next step forward

TI justified recirculating the ion implanter shell exhaust within the fab based on a thorough risk analysis built around using SAGS technology. Over the last decade, they refined the practice and proliferated it across new fab installations, significantly reducing capital require- ments for make-up air.

Developing an integrated exhaust system can ultimately reduce implant make-up air requirements by 98%— without compromising safety. Operating costs associated with the lower exhaust have been proportionately reduced,along with carbon dioxide emissions.

Further advances in exhaust/energy reduction are possible via a partnership between toolmakers, dopant suppliers and fab designers to incorporate an integrated exhaust system for ion implanters, and possibly other tools. It begins with insuring operating gas delivery is under sub-atmospheric pressure conditions all the time.

Future changes may include:

1. Adding pneumatic valve operators to the dopant cylinders

2. Variably exhausting the gas box proportional to actual risk conditions

Outstanding economic and environmental gains can continue to be made – and new standards created – if manufacturers, equipment makers and suppliers work together to envision the possibilities. As an industry, and as responsible corporate citizens, working together to pursue these types of opportunities can reduce energy consumption and exhaust while improving overall process safety.

Based on text, graphics and data originally presented at the 26th Annual IEEE/SEMI Advanced Semiconductors Manufacturing Conference (ASMC 2015), May 3-6, 2015, Saratoga Springs, New York.

STEVEN BALLANCE, P.E., is a facilities engineer at Texas Instruments, Dallas, TX. KARL OLANDER and JOE SWEENEY are with the Electronic Materials division of Entegris, Danbury, CT.

A novel SACVD PMD invention sets the benchmark for helium reduction efforts by achieving four key objectives: cost reduction, quality, process robustness and productivity.

BY JAE HEE KIM, Thin Film Dielectric Fabrication Engineering, Texas Instruments, Dallas, TX

The United States is the world’s largest helium supplier and half of its supply comes from a helium reserve regulated by the Bureau of Land Management just outside of Amarillo, Texas. As many predict, at the current rate of production the maximum expected life of this reserve is 2020. As a result of a shortage that began in 2013, the cost of bulk helium has been increasing significantly (FIGURE 1).

Helium 1

Considering semiconductor manufacturing is one of largest helium consuming industries [2], it becomes crucial to invest continuous efforts to minimize helium usage during wafer fabrication processes and to identify new opportunities for helium reduction. In this article, we’ll take a look at a new innovative process to do just that.

Sub-Atmospheric Chemical Vapor Deposition (SACVD) for pre-metal layer consumes a significant amount of helium to assist in process gas delivery during deposition and in-situ chamber clean which makes the best candidate for helium reduction effort benchmarking. Also, SACVD Pre-Metal Dielectric (PMD) consists of various processes including phosphosilicate glass (PSG) and borophospho-silicate glass (BPSG) which makes the fan-out process more applicable for a bigger impact on helium reduction. So how do we do it?

Objectives

There are four key objectives to a new SACVD PMD process development that my team has looked at: cost, quality, process robustness, and productivity. First, a new carrier gas was identified to maximize helium usage reduction. Second, solutions to both new hardware and process conditions were developed for quality improvement. A new blocker plate was qualified to improve within wafer thickness uniformity. Additionally, gas conditions were developed to improve the gap-fill capability for leakage reduction. Third, a new pressure condition was qualified for process robustness improvement. An old two-step baseline process was designed for better gap fill by depos- iting initial 4kA film at 700Torr for lower deposition rate and the rest of the film at BKM pressure, 200Torr for better cycle time. However, this baseline two-step process, which operates at near atmospheric pressure on a sub-atmospheric CVD tool platform, is marginal for pumping speed degradation which leads to inline defect. Susceptibility of defect formation was reduced by lowering process pressure from 700Torr to 600Torr during the initial PMD layer. Last, overall process conditions were evaluated to achieve a desirable deposition rate in order to ensure comparable manufacturing throughput. Furthermore, a new process condition was selected to avoid process chamber restriction for flexibility of manufacturing.

New process carrier gas identification

Initial process development was divided into two categories: BPSG and PSG. Development began with PSG since there is one less process parameter, Boron compared to BPSG process. Preliminary tests showed that a 100 percent N2 carrier drives an unstable film thickness range. Based on findings, a helium and nitrogen mix carrier gas was selected for further process evaluation. The main focus at this stage of evaluation were to identify process conditions including a helium and nitrogen mix carrier gas flow to achieve maximum helium savings, comparable cycle time, and thickness uniformity improvement.

Process condition development

Based on design of experiments (DOE) with four key process parameters (N2, He, O3, spacing), we learned that deposition rate is faster with increasing He and slower with increasing N2 and O3. Thickness uniformity degrades with total carrier gas flow. Based on DOE results, initial proposed condition was carrier 5500sccm (3:1 = N2:He), O3 3000sccm, spacing 200mils for better thickness uniformity and shorter cycle time while saving the maximum amount of helium.

Unfortunately, this condition degraded at baseline margin to form voids in 700Torr deposition film due to faster deposition rate. Focus was then shifted to identify a recipe condition that lowers the deposition rate during 700Torr deposition for a better gap fill capability which also can be used for both 200Torr PSG and two-step PSG to ensure manufacturing flexibility.

Based on deposition rate DOE with three parameters including Ozone, tetraethyl orthosilicate (TEOS) and spacing (TABLE 1), ozone flow has first-order effects on the deposition rate, and spacing has second-order effects. TEOS flow has third-order effects on deposition rates but also reduces dopant concentration of film. Temperature change was not considered since it affects other recipe conditions at a greater degree. Increasing pressure was also not considered since the process already operates at a high pressure of 700Torr.

Helium Table 1

Then it was decided to include Ozone and spacing, in addition to helium and nitrogen, into further process characterization. We ran comprehensive three factorial DOE to deposit 4kA PSG film at 700Torr at various settings of total carrier flow, spacing, and ozone. This was in order to achieve a lower deposition rate for better gap fill and good thickness uniformity. DOE conditions were determined based on JMP prediction profiler and calculators to evaluate a wide spectrum of different deposition rates at 700Torr and thickness range.

To evaluate the DOE result, two techniques were used. First, wafer samples were prepared by sputtering top down until they reached the very initial layer of PMD to open up any voids that are present in PSG film. Effectiveness of gap-fill capability was rated by quantifying a number of voids on the scanning electron microscopy (SEM) images captured at same magnification on the consistent location of the wafer sample. This is a more effective technique than collecting transmission electron microscopy (TEM) on a defined location on samples since top down SEM can capture broader areas of wafer samples. Second, wafers were also submitted for dynamic secondary ion mass spectrometry (SIMS) to ensure if the dopant profile throughout PSG film is comparable to the baseline. This critical step is to verify that there is no sign of unstable dopant distribution that could lead to any adverse effects, such as increased etch selectivity or poor gettering (FIGURE 2).

Helium 2

Based on DSIMS collected, it was found that the dopant concentration profile becomes unstable if the total carrier gas flow is less than 5500sccm. Phosphorous (P) concentration profile shows fluctuation all throughout the film at a total carrier gas flow less than 5500sccm while phosphorous percent profile was steady at total carrier gas at 5500sccm or higher (FIGURE 3).

Helium 3

Among many conditions that satisfy a total carrier gas flow of less than 5500sccm, when ozone flow is 5000sccm and total carrier gas is 5500sccm with a 3:1 ratio of nitrogen to helium, the top down SEM result shows a greatly reduced number of voids in film. This means the deposition rate during 700Torr is slow enough to improve gap-fill capability. At the same time, Ozone flow at 5000sccm was fast enough during 200Torr to maintain a comparable cycle time. Therefore, this condition can be used for both single step PSG and two-step PSG which allows flexibility for manufacturing to run both processes without equipment restriction. Dynamic SIMS also verified that this condition provided a stable dopant profile. Thickness uniformity was also comparable to the baseline on this recipe condition. Therefore, spacing 200mils, ozone 5000sccm, and a total carrier flow 5500sccm was chosen as a finalized new PSG condition.

For the BPSG process, the same technique was used for evaluation. DSIMS was used to ensure both Boron and phosphorous concentration profiles are comparable. The same carrier gas conditions with nitrogen and helium at a ratio of 3:1 of 5500sccm and Ozone 5000sccm were selected for the final condition. TEOS was increased from 600mgm to 800mgm to make sure the deposition rate is comparable to maintain manufacturing cycle time at PMD (TABLE 2).

Helium Table 2

Flash parametric legacy issue improvement

A high aspect ratio of device structure can cause voids in PMD that lead to poor isolation and yield loss. There are many contributing factors that modulate PMD voids, including a stacked gate vertical profile and a sidewall spacer profile. Among all contributing factors, however, a void-free PMD process was proven to be the most effective way to minimize leakage. The void-free PMD was achieved by qualifying a new two-step PSG process with a mix carrier gas.

The new two-step PSG process with a mix carrier greatly lowers the deposition rate during the initial PMD layer. This helps deposit film more uniformly at higher pressures to minimize voids, while depositing the rest of the PMD at a faster deposition rate at lower pressure helps to compensate cycle time loss from the initial deposition.

The new two-step PSG alleviates leakage susceptibility on the wafer edge and reduces sensitivity to the PMD void-contributing factors by adding significant margins to leakage failure due to voids. Notably, the PMD gap-fill improvement added significant integration marginality between the sidewall spacer profile and the PMD which led to lower process and tool sensitivity at the sidewall spacer etch. This increases manufacturing capacity by releasing sidewall spacer etch process chambers with historical leakage failure susceptibility to production. Most importantly, parametric outlier probability was greatly improved by 20 percent and a zero standard parametric failure rate was achieved by qualifying void-free PMD (FIGURE 4).

FIGURE 4. Void-free PMD (right) shows excellent gap fill while baseline PMD (left) shows a void filled with W [3].

FIGURE 4. Void-free PMD (right) shows excellent gap fill while baseline PMD (left) shows a void filled with W [3].

Process robustness improvement

There were technical challenges with center cluster defects on the new two-step process. Center cluster defects affected isolation contact resistance. Based on TEM (FIGURE 5), defects formed around where a low deposition rate completed and a faster deposition rate resumed. Dynamic SIMS showed a phosphorous concen- tration peak at the defect which explained why this defect had a high contact etch selectivity.

Helium 5

After exposing the test wafer for 24 hours at atmosphere, haze was formed on its substrate. Time of flight secondary ion mass spectroscopy showed that haze was caused by a reaction between excessive phosphorous and atmospheric moisture. Additionally, a repeatability test showed that the tail of cluster defects extended towards gas exhaust. Based on these findings, this baseline two-step process which operates at near-atmospheric pressure on a sub-atmospheric CVD tool platform is marginal to maintain sufficient pumping speed during pressure transition from high process pressure to low process pressure (FIGURE 6). This significantly increased the chances of forming center cluster defects with a heavier carrier gas. This is because the pumping speed is lower at a higher pressure and mean residence time is longer at a higher pressure. Additionally, conductance is lower with N2 than with He due to heavier molecular weight.

Helium 6

In order to address this issue, the new two-step process was reevaluated and a new process condition was developed. As summarized in TABLE 3, it was decided to maintain the same carrier gas flow to maintain bulk helium savings. Pressure condition for the first deposition step was modified from 700Torr to 600Torr. This new two-step process improved robustness by reducing risks of pumping speed degra- dation during the pressure transition from 600Torr to 200Torr. The new two-step process is also able to deliver a strong PMD void-fill improvement by maintaining a zero parametric failure rate for leakage.

Helium Table 3

Thickness uniformity improvement

The new SACVD PMD invention took part not only in process development but also in hardware improvement. The new process with a baseline helium blocker plate that helps uniform process gases dispersion showed higher within wafer thickness range which appeared on wafer substrate as in forms of lightly discolored spots. Based on Energy Disperse Spectroscopy (EDS) and Dynamic SIMS, defects were a part of the top 270A of PSG film. The location of spots were nicely matched to the hole pattern of the helium blocker plate. The nitrogen blocker plate was qualified as it consisted of the same material as the helium blocker plate but had a more dense hole pattern. It was not only able to eradicate the anomaly on the surface film but also to alleviate the baseline starburst pattern on the deposited film.

DSIMS confirmed that the dopant profiles on the nitrogen blocker plates are comparable to the ones on the helium blocker plate. The nitrogen blocker plate improved within wafer thickness uniformity by 35 percent on a new PSG film ranging from 12kA to 16kA compared to an old PMD baseline performance (FIGURE 7). Consequently, this improved the process capability index at post PMD Chemical Mechanical Polish (CMP) by improving process targeting based on improved thickness uniformity.

Helium 7

Manufacturing and engineering productivity increased, as well, due to reduced tool down time. New blocker plate qualification also alleviated the sensitivity of film thickness uniformity to the heater age and possibly helped to extend heater life on the PSG chambers and reduce tool down time for range failure.

Conclusion

This novel SACVD PMD invention successfully set the benchmark for helium reduction efforts by achieving four key objectives: cost reduction, quality, process robustness, and productivity. It brings a substantial impact on bulk helium gas savings with worldwide limited supplies and increasing demand. The new PMD reduces bulk helium usage by 80.4 percent and 77.1 percent for PSG and BPSG respectively during deposition and completely eliminates helium usage during in-situ chamber clean.

This new process achieved outstanding gap-fill capability by lowering the deposition rate at initial PMD layer. The process successfully eliminated leakage failure at parametric by adding significant process integration marginality for void formation. It also improves process robustness by reducing risks of pumping speed degra- dation during the pressure transition from 600Torr to 200Torr. Process conditions are carefully developed for comparable manufacturing throughput and harmonized between single step PSG and two-step PSG in order to ensure manufacturing flexibility. Lastly, new hardware qualification also helps improve quality and productivity by lowering within wafer thickness range.

References

[1] C. Kaneshige, 2013, an excerpt from GE Healthcare published in 2012
[2] Semiconductor Industry Association, August 1, 2012, Hearing on “Helium: Supply Shortages Impacting our Economy, National Defense and Manufacturing” (Hearing held on July 10, 2012). Testimony for the Record of the Semiconductor Industry Association.
[3] D. Rodriguez, 2014, unpublished

Nova Measuring Instruments, a provider of metrology solutions for advanced process control used in semiconductor manufacturing, announced today that a leading foundry recently selected Nova’s optical and X-ray metrology solutions for multiple process steps, including CMP, Etch and Deposition, for its most advanced technology nodes. The selection by this major foundry in Asia covers metrology solutions for process control in production in 10nm and 7nm technology nodes and metrology solutions for R&D process development in 5nm technology node. As part of this selection, Nova has already started to deliver integrated and stand-alone platforms during the fourth quarter of 2015 and will continue to support the ramp up for these advanced technology nodes during the coming years.

Nova’s solutions were selected due to superior metrology performance, high productivity and technology extendibility, to handle the future challenges of critical dimension (CD) and materials measurements of 3D FinFET gates in sub 10nm technology nodes. The selection was made following an intensive evaluation and includes Nova’s most advanced product suite of integrated and stand-alone metrology systems, offering unique and advanced measurement capabilities, enhanced with NovaMARS innovative modeling software. Nova’s comprehensive solution demonstrated best-in-class technology offering, combined with enhanced productivity capabilities and long term extendibility.

“This selection by the world’s leading foundry, following a comprehensive evaluation, represents a powerful vote of confidence in our metrology portfolio for the most advanced technology nodes,” stated Eitan Oppenhaim, Nova’s President and CEO. “This selection is another proof point for our industry leadership, as well as our strong position in the foundry segment, and validates our expectations for extensive growth in metrology intensity in the advanced nodes. I am gratified that we were able to demonstrate, once again, the value of our disruptive innovation, which matches aggressively to our customer’s roadmap and provides a great support for our growth plans in the coming years.”

Oppenhaim concluded, “We are reaffirming our revenue guidance for the fourth quarter of the year, representing record top-line results in 2015.”

The company revenue guidance for the fourth quarter of 2015 is $37 million to $41 million, which implies to $145.5 million to $149.5 million in annual revenues in 2015.

Ultratech, Inc., a supplier of lithography, laser processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HB­-LEDs), as well as atomic layer deposition (ALD) systems, and Qoniac GmbH of Dresden, Germany, a specialist in process optimization and overlay control solutions for leading-edge semiconductor lithography, announced that the companies are jointly developing a 3D lithography advanced process control (APC) solution for advanced 3D CMOS manufacturing. Building on the companies’ respective leadership in 3D inspection and lithography APC, the solution will allow Ultratech’s Superfast to interface with Qoniac’s OVALiS, the leading lithography process optimization solution. As a result, the goal of this interface is to enable a new level of lithography 3D correctable performance that leverages Superfast’s high-density distortion sampling and OVALiS’ dynamic field-by-field feedback and feed-forward control.

Arthur W. Zafiropoulo, Ultratech’s CEO, said, “I am delighted to partner with Qoniac to provide our mutual customers a new level of 3D correctable performance. Today’s leading-edge fabs require better overlay control as one of the critical parameters affecting good process yields. Superfast has now been adopted for high-volume, 3D distortion control and lithography feed-forward applications. Qoniac’s expertise and leadership in lithography APC will help drive innovation so that we can provide our customers with new capabilities they need as they move to the next generation of Vertical NAND, DRAM and FinFET processes.”

Adwin Timmer, CEO at Qoniac, said, “Our current joint development with Ultratech for 3D lithography APC will enhance our lithography APC capabilities with distortion correction. As the industry increases the use of 3D manufacturing to lower cost, structural distortion has become a major component of the overlay budget. Ultratech’s Superfast CGS technology has given the 3D manufacturing leaders control over these distortions. Qoniac’s OVALiS aims to insure that this control is smart, dynamic and with the highest yields.”

Ultratech’s Superfast 4G+ Inspection System

Based on patented coherent gradient sensing (CGS) technology, Ultratech’s Superfast 4G+ inspection system for patterned wafers provides the industry’s highest throughput, with a low cost-of-­ownership compared to competing systems. Building on the field­-proven Superfast platform, Ultratech’s 4G+ Inspection System provides the industry with a 3D topography inspection solution for advanced lithography applications with the flexibility to measure front-­side of patterned wafers anywhere in the production line. Its direct, front­-side 3D topography measurement capability is well suited for patterned wafer applications such as displacement feed­-forward to the scanner, 3D topography measurement for focus control, and high-­stress process control.

Qoniac’s OVALiS Litho Process Optimization and Control Solution

Based on patent-pending algorithms, Qoniac’s OVALiS software suite provides the industry’s most advanced solutions for process optimization, diagnostics, monitoring and control, resulting in the best possible on-product litho performance and corresponding yields. Its diagnostic and simulation capabilities ensure shortest time-to-market and unrivalled optimization of the litho manufacturing process. Its monitoring and dynamic litho APC capabilities enable advanced excursion detection, reliable overlay dispositioning and optimal field-by-field APC corrections with the tightest possible specs.

Entegris, Inc., a developer of yield-enhancing materials and solutions, today announced new post-chemical mechanical planarization (post-CMP) cleaning solutions for semiconductor manufacturing. The new PlanarClean AG family of products were designed for use in 10nm processes and below, and add to Entegris’ portfolio of post-CMP cleaning solutions.

“Entegris has been the industry leader in post-CMP cleaning for many years. Our PlanarClean family products have been widely used in wafer fabs around the world. To address greater complexity of wafer production at the leading-edge nodes due to the addition of many new materials, such as cobalt and tungsten, we carefully re-formulated our PlanarClean solution to provide superior cleaning without damaging advanced films or new materials,” said Cuong Tran, director of post-CMP cleans for Entegris. “PlanarClean AG meets the needs for advanced processes, while also conforming to new safety guidelines outlined by our customers.”

The CMP process in silicon wafer production consists of a mechanical polishing step which utilizes a chemical slurry formulation to remove unwanted conductive or dielectric materials from the surface of the integrated device, achieving a flat and smooth surface upon which additional layers of integrated circuitry are built. The post-CMP cleaning step removes nanoparticles to minimize potential wafer defects while maintaining the integrity of the layers of materials already in place.

Changes to the number and types of films and materials exposed during cleaning in advanced processes have highlighted a need for specifically formulated cleans. In addition, changes to the particles used in slurries have rendered many of the traditional post-CMP cleaners ineffective and inefficient for leading-edge technologies, specifically in Front-End-of-Line (FEOL) processes. These challenges are now pushing semiconductor manufacturers to consider formulated cleans over commodity cleans.

PlanarClean AG formulated solutions meet these needs by providing one-step, superior cleaning in advanced processes that include copper, cobalt and tungsten, while protecting the underlying thin films and materials. Its proprietary formulation offers increased performance through enhanced reliability and yield, low to zero corrosion and defects and increased queue time. In addition, PlanarClean AG provides a cost-of-ownership advantage by reducing the amount of chemistry required in the cleaning step, and meets the latest EHS safety requirements for fab chemistries. The products have been successfully evaluated in multiple leading-edge fabs and are currently available to all customers.

 

Pfeiffer Vacuum, a global supplier of vacuum technology, will be exhibiting at the SEMICON Korea Trade Fair in Seoul from January 27 to 29 and Semicon China in Shanghai from March 15 to 17. Visitors to the booth can discuss innovative vacuum solutions with experts from Pfeiffer Vacuum.

“We are pleased to present important new vacuum solutions at the trade fairs SEMICON Korea and SEMICON China. In an increasingly changing competitive environment, Pfeiffer Vacuum is ideally set up as a rock-solid company, acting in the global arena as a reliable, long-term allround provider of vacuum technology for customers all over the world,” said Eric Taberlet, President of the Business Unit, Semiconductor & Coating.

A4 series of dry pumps

The dry, multi-stage Roots pumps in the A4 series provide pumping speeds of 100 to 2,300 m3/h. These energy-efficient and reliable pumps are ideal for use in demanding processes in the semiconductor and coating industries. With corrosion resistant materials and a high gas throughput, this pump series is optimally suited for use in CVD processes, for example.

Turbopump HiPace 2800 IT

With the HiPace 2800 IT Pfeiffer Vacuum presents a turbopump especially dedicated for ion implantation applications. The sophisticated rotor design of the turbopump results in an optimized pumping speed for light gases. This ensures very good process adaption for ion implantation processes, were hydrogen is the most accumulating gas. With 2,750 l/s pumping speed for hydrogen the new HiPace 2800 IT is the best turbopump in its class.

The intelligent temperature management system prevents process condensation and deposition inside the pumping system. It allows setting the temperature individually to ideally support the process. The special coating of the rotor ensures robustness against all ion implantation process materials. Being based on a so-called hybrid bearing, a combination of ceramic ball bearings on the fore-vacuum side and permanently magnetic radial bearings on the high vacuum side, these HiPace turbopumps have a particularly robust bearing design. Together with the efficient coating, this forms the basis for the long life cycle and maximum up-time of the pumps.

Magnetically levitated turbopumps ATH 2804 M and ATH 3204 M

The ATH-M pumps offer a gas throughput of over 5,000 sccm of nitrogen for non- heated applications. They also allow a very high throughput with up to 1,500 sccm of argon and a pump operating temperature of 65 °C in corrosive applications. The pumps operate at high temperatures up to 85 °C for use in the most aggressive applications with by-product deposition sensitive processes. These pumps include integrated drive electronics to ensure a small footprint and easy plug-and-play installation. The total height of the DN 320 flange model is less than 400 mm. Due to the new electronics, the pumps can be started and stopped within 8 minutes. Active magnetically levitated bearings and automatic out-of-balance compensation enable wear-free and low vibration operation of the ATH-M pumps. They are maintenance- free and require no lubrication. Continuous rotor stability is assured for reliable performance. Low power consumption at nominal speed and very low cooling water consumption (1 l/min) also characterize the new ATH-M pumps.