Category Archives: Roughing Pumps

67039

Vacuum Pumps


December 11, 2015

Many semiconductor manufacturing process steps require a mid- to high-level of vacuum in the process chamber to operate effectively. The optimum pumping package for each application depends on the type and amount of gases to be pumped (which are typically reaction byproducts or purge gas), the chamber size, pumping speed required and ultimate pressure required.

These include CVD, silicon epitaxy, plasma etch, ion implantation, PVD/sputtering and plasma stripping. Gas loads vary from a few sccm in ion implant systems to over a hundred sccm in CVD and etch.

Typically wafers are transferred to the chamber through a load-lock. So-called “roughing” pumps — which can be rotary vane, dry pumps (claw, screw or scroll) or “Roots blowers” – are used to take the pressure from atmospheric pressures to around 10-2 to 10-3 Torr. Then high vacuum pumps, typically turbomolecular pumps or cryogenic pumps take over to achieve process-level pressure. Roughing pumps are often located in the sub-fab below the tool. Turbos and cryos are often located very close to the process chamber, inside the tool, but can also be located in the sub-fab.

Turbo pumps use rapidly spinning blades to impart direction to gas molecules, propelling them through multiple stages of increasing pressure. Magnetic bearings are used to levitate the pump drive shaft and eliminate the need for lubricating oil.

The latest primary pumping mechanism to be introduced is the regenerative pump, which uses a single, high-speed rotor to impart momentum to the gas, compressing it through several stages to atmospheric pressure.

Additional Reading

Fundamentals of Vacuum Technology

Current and future trends in vacuum process technology

ULVAC, Inc. announced that it has recently developed and started selling the ECO-SHOCK ES4A, a power saving accessory for dry vacuum pumps that can reduce power consumption substantially by attaching to the dry vacuum pump exhaust line.

Dry vacuum pumps consume particularly large amounts of electricity in production lines. Therefore, it is important to reduce their power consumption. ULVAC has already released the ECO-SHOCK ES10, which reduces power consumption when attached to a dry vacuum pump exhaust line. However, it has been difficult to reduce power consumption of dry vacuum pumps that are used for frequent pumping down of loading/unloading chambers of vacuum systems and use large amounts of sealing gas. To resolve this difficulty, ULVAC has launched the ECO-SHOCK ES4A.

Features:

  • The ECO-SHOCK ES4A makes possible a substantial reduction in power consumption of dry vacuum pumps used for the following purposes: Dry vacuum pumps that are used for frequent pumping down of loading/unloading chambers; dry vacuum pumps that use large amounts of sealed gas.
  • There is no degradation of pumping speed because any control such as rotation speed adjustment is required when attaching it to dry vacuum pump. Also, even if the ES4A was broken down, there is no decrease in performance of dry vacuum pump.

The ECO-SHOCK ES4A can be attached to dry pump exhaust lines that have already been installed. It can be used to pump down air, nitrogen, argon and other stable and safe gases. However, it cannot be used in applications such as flammable, burn ability and toxic gas exhausts, or for solid/fluid suction. It can also be used for a dry vacuum pump, which does not affect performance when making exhaust port under vacuum.

By Pete Singer, Editor-in-Chief

Opportunities for cost savings abound in the “sub-fab” of semiconductor operations where the vacuum pumps and gas abatement systems

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

reside. Typically, these systems are running full tilt, no matter what’s going on in the process tool.

In a case where the cobbler’s children may finally be getting new shoes, work is underway to improve the communication between sub-fab equipment and process tools so that fuel in gas abatements systems can be turned off if there’s nothing to abate, and vacuum pumps can be throttled back or slowed if there’s nothing to pump.

“If you have equipment that is enabled with this capability, you can access these savings by essentially turning down the power or the fuel gas consumption when they’re not actually required for chip processing, said Dr. Michael Czerniak, Environmental Solutions Business Development Manager, at Edwards Ltd.

Czerniak gave a talk at 2:00pm on Tuesday at SEMICON West as part of the Sustainable Manufacturing Forum. The forum, held on Tuesday in Moscone North, Hall E, Room 132 from 10:00am to 5:00pm, allows experts to share the latest information on the environmental and social impacts of advanced technologies that are likely to be introduced into semiconductor manufacturing in the near future.

At SEMICON West in 2014, Czerniak was honored with SEMI’s Merit Award, along with Daniel Chlus (IBM) and Lance Rist (RistTex). The trio, were part of the Energy Saving Equipment Communication Task Force responsible for developing new standards designed to help reduce energy consumption in production equipment, specifically the SEMI E167 standard.

While production equipment and support equipment are all capable of reduced utility consumption, implementation has been slow due to lack of a standard.

SEMI’s E167 solved one piece of the puzzle – enabling the factory host to tell the process too that there are no wafers coming, for example – another standard is needed for the tool to communicate with sub-fab equipment that it, too, can power down. That is where a new standard, SEMI S23 comes in. “Once the tool has decided it doesn’t need pumps and abatement for the next 45 minutes or so — whatever it decides — it can then cascade that message down to the subfab where the energy savings will actually take place,” Czerniak explained.

At SEMICON West, a working group of the SEMI S23 task force is preparing additions to the Related Information section of SEMI S23 to provide for suggested utility-consumption test conditions and report formats for some components and peripheral equipment commonly used in semiconductor manufacturing equipment systems.

The components initially considered are dry vacuum pumps, refrigerated chillers and heat exchangers, although other components such as process power equipment may be considered soon. Also under discussion is the inclusion of Related Information for the application of efficiency rating systems for components and peripheral equipment. The goal of the working group is to produce suggested new Related Information in SEMI S23 for consideration on a future SEMI Standards Ballot.

“We’re working pretty hard as part of a SEMI standards committee – to get standardized signaling for that sort of information – so that all pump and abatement suppliers can get access to signals that allow them to do these energy savings,” Czerniak said.

Czerniak said this will work best in a new facility, once the tools have the ability to communicate directly with the pumps and abatement systems. In a retrofit scenario, it can be a challenge to get those signals. “We’re talking about getting signals derived from loadlock pumps,” he said.

In practice, it may be impossible to actually turn off vacuum pumps completely, particularly those that are pumping byproducts that tend to condense inside the pump. “You generally don’t want to switch them off due to the risk of not being able to restart them. In those cases, what you do is typically reduce the frequency at which you spin them and save maybe 10-15% of the running power. To get them back to full speed and full operating temperature isn’t such a long period of time,” Czerniak said.

On the other hand, with gas abatement systems, particularly those that burn fuel (i.e., natural gas) to destroy the byproducts, it’s possible to shut them to near zero. “In our case, we usually just leave them running on a pilot flame. They come back on line in tens of seconds, and you save about 90% of your fuel gas. There are very significant savings,” Czerniak said. “At the same time, you also save on your CO2 footprint. It gets to be quite an important factor when people do CO2 audits of their manufacturing process so they can put green stickers on their end products.”

This has been the focus of one of the working groups in the European EEM450PR project, which is focused on 450mm tool developed (similar work is underway at the G450C Consortium in Albany).

In his talk on Tuesday, Czerniak described those models that were constructed as part of the EEM450PR project to simulate the impact of green modes, at various levels of wafer inactivity, initially for 300mm, and then extended for a hypothetical 450mm fab. It was also noted that additional savings would be possible in the facility, e.g. reduced process cooling water when the pump and abatement thermal load is reduced. The model was then validated by looking at data from a HVM 300mm fab, simulating the effect of green modes (without actually implementing them), and also live green mode implementation on pumps and abatement at imec’s R&D lab in Europe.

A live demonstration was also conducted in the G450C Albany fab on some installed 450mm toolsets, as part of the complementary and collaborative engagement between the regions on the 450mm topic, in order to validate the assumptions for future 450mm fabs.

Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

BY MIKE BOGER, Edwards Vacuum, Tokyo, Japan

The use of high-k dielectric films deposited through atomic layer deposition, primarily in batch furnaces, has intensified, particularly in the manufacture of memory devices and high-k metal gates (HKMG) in logic devices. ALD uses a sequential purge and injection of the precursor gases to generate slow, but accurate growth of the films one atomic layer at a time. One of the precusors is typically a metal organic compound from a liquid source, commonly zirconium or hafnium-containing materials, followed by ozone to create the high-k film.

Wafers are usually processed in a furnace with batch sizes of 200 or more wafers. Reliability of the vacuum system is imperative to prevent contamination and consequent scrapping of the wafers. Unexpected failures can cause significant loss of work in process and process downtime. For example, if the vacuum pump seizes suddenly due to internal contamination by process by-products, the pressure in the pipe between the vacuum and furnaces rises, and there is a risk that powder deposited in the pipe will flow back into the furnace. This powder can not only contaminate wafers in the furnace, but also force a time-consuming clean-up that may remove the furnace from operation for a day or more.

The challenge

The mean-time-between-service (MTBS) for a vacuum pump used in semiconductor manufacturing varies greatly depending on the particular process it supports and the design of the pump. For the ALD processes considered here most failures caused process by-products can be grouped into four categories.

  • Corrosion – Attack on the metal components of the pump results in the opening of clearances leading to loss of base vacuum. Depending on the location of corrosion, the oxidation of the metal may actually generate powder that can cause seizure of rotating elements.
  • Plating – The deposition of metal compounds on the surface of internal components fouls internal mechanism clearances, causing the pump to seize.
  • Powder ingestion – Powder that enters the pump can jam rotating elements, leading to seizure.
  • Condensation – Compounds in the pumped gas stream transition from a gaseous to a solid phase within the pump, depositing on internal surfaces and eventually leading to loss of clearance and seizure.

Monitoring of pump operating conditions, such as input power, current, and running temperature, can provide an indication of the health of the pump. Events that lead to failure are generally gradual in nature. Advance notice periods can be measured in days. However, failures of vacuum pumps on high-k ALD processes often happen suddenly with little to no indication of distress prior to seizure.

A typical example of a vacuum pump used on a high-k ALD process is shown in FIGURE 1. This pump was used in a full production environment and consisted of a 1,800 m3h-1 mechanical booster mounted above a 160 m3h-1 dry pump. In this case, the pump exhibited a strong spike in running power, approximately 20 times normal, and was immediately removed for inspection. Significant deposition is evident in the booster (Fig. 1 left) and also in the last stage of the dry pump (Fig. 1 right). Evidence of the loss of clearance that caused the spike in input power is observed as a shiny area on the rotor lobe. In operation this pump was exposed to TEMAH (hafnium-containing liquid precursor), TMA (aluminum-containing liquid precursor), and ozone for producing HfO2 and TMA Al2O3. It was exchanged after 1,200 hours of use.

ALD 1-A ALD 1-B

 

FIGURE 1. A picture of a disassembled pump after 1,200 hours of use on a high-k ALD process showing the deposition in the booster (left) and loss of clearance in the last stage of the dry pump (right). 

FIGURE 2 provides another example of a pump that was removed due to detection of a spike in input current. In this case, the booster, second stage, and final stage of the pump are shown. Although the process was nominally the same (deposition of HfO2 and Al2O3), the deposition pattern is different. In this case, the booster and early stages of the dry pump show signs of a thin coating of a material that exhibits a green iridescent sheen. The final stage of the pump has a brown powder accumulation, but of a lighter color than that shown in Fig. 1.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

FIGURE 2. Pictures of a disassembled pump that was removed for inspection after only 457 hours due to a large current spike detected during operation. In order, the pictures show the booster, second stage of the dry pump, and the final stage of the dry pump.

In both of the examples shown in Figs. 1 and 2, the service interval of the pump was short and below the user’s expectations. In these cases, which are representative of all the pumps used on this process, the user was forced to exchange pumps frequently to minimize the risk of wafer loss. Other customers had similar experiences. TABLE 1 lists the films deposited and the preventative maintenance service intervals implemented by four customers. Analysis of serviced pumps suggested that processes depositing zirconium oxide were more challenging for the pump.

Screen Shot 2015-02-10 at 5.30.54 PM

Analysis

To better understand the reliability improvement challenge, a sample of the deposited material from a failed pump was analyzed. The results of the analysis, shown in FIGURE 3, revealed deposits rich in carbon and metal oxides, consistent with metal-organic precursors. The rate of oxide deposition appeared to be higher than that which would occur through pure ALD mechanisms, suggesting some chemical vapor deposition (CVD) or decomposition of the gases being pumped.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

FIGURE 3. Analysis of the deposition within a failed pump showing hafnium, oxygen, and carbon components.

A survey of literature [1], [2], [3], [4] revealed that the typical reactants used in high-k ALD can react at high pressure and at low temperature without the need for external energetic activation. This suggests that even if there were no CVD or decomposition of gases within the pump, ALD-like films can still be deposited on the internal surfaces of the pump.

A simulation of the vapor pressure of TEMAH (one of the precursors used) within the pump was conducted, assuming a mass flow rate of 0.2 mg min−1 for TEMAH. The simulation results were compared to the measured vapor pressure of TEMAH to determine if there was any risk of TEMAH condensing within the vacuum pump. The results, shown in FIGURE 4, suggest that there are sufficient safety margins in the actual conditions. The TEMAH will stay in vapor form while it travels through the pump, even if the actual flow varied by an order of magnitude from that assumed. Moreover, the pump temperature could be reduced substantially without risk of condensing TEMAH within the pump.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

FIGURE 4. Vapor pressure of TEMAH (0.2 mg/min with 14 slm of nitrogen) and simulated vapor pressure of TEMAH in the dry pump, inlet to outlet.

A number of pumps were inspected, a large majority of which were pumps exchanged prior to seizure. Unfortunately, although powder was evident in the final stages of all pumps, not all pumps had powders of the same color. Moreover, as seen in the middle photograph of Fig. 2, some pumps and boosters were relatively clean exhibiting just a green sheen of deposition.

None of the observations, other than powder in the final stage of the dry pump, were consistently repeatable, suggesting that factors upstream of the pump were also contributing to short service intervals. Powder loading varied between pumps and within the pumps, although the heaviest deposition was always located in the final stages of the dry pump. It is normal for the most deposition to occur near the exhaust of the pump because of the generally increased temperature of the exhaust gas and the increase in vapor pressure of the materials being pumped.

A diagram of the dry pump stages from inlet to outlet is shown in FIGURE 5, where the sleeves are also shown. Consistently, the final stage shaft sleeve, which is located between the 4th and 5th stage of the pump, was the weakest link in the design. Deposition would collect on the sleeve’s surface. Resulting friction between the sleeve and the stator would cause the components to heat, expand, and finally seize the pump.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 5. Schematic of the dry pump mechanism showing inlet (1st stage) to outlet (5th stage). Rotor sleeves are shown in green.

FIGURE 6 shows the sleeves from between three stages of a pump exchanged for service. Another example is shown in the right side picture of Fig. 1. The sleeves are steel with a PTFE coating, giving them a green color. Evidence of the deposition is clear in the shaft sleeves on the right side of the picture.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

FIGURE 6. Picture of sleeves in an exchanged pump showing deposition on the outer surfaces.

Extending pump service intervals

Inconsistencies in powder deposition that suggested variations in upstream conditions were ultimately traced to condensation in the gas lines to the process chamber. The amount of condensed liquid and the length of the flow step in the ALD cycle affected the amount of deposition. When the user took care to avoid condensation, a much more consistent pattern of deposition was observed within the pump.

For any particular dry pump, the two most convenient elements that can be adjusted are the nitrogen purge and the temperature of the pump. Adding purge, or changing the location of the purge, can affect the partial pressure of the gases being pumped. Purge can also affect the temperature of the gas being pumped. In this case the purge flow was already 76 slm and further increase could have affected the downstream gas abatement device.

Experiments to extend the MTBS focused on the pump running temperature. Temperature changes within the pump can dramatically affect the propensity of the pumped gases to condense on the internal surfaces of the pump as well as the rate of reactions of any gases being pumped. However, varying the pump temperature from 140°C to nearly 180°C made any appreciable change to the service interval.

Finally, two pumps with designs that differed significantly from the original pump were evaluated. Additionally, new pump A provided significantly greater capacity at higher inlet pressures than new pump B, at the expense of greater power consumption. The results are shown in TABLE 2.

Screen Shot 2015-02-10 at 5.32.47 PM

New Pump A was initially installed with a temperature set point of 130°C. It was removed after six months for inspection prior to failure. New Pump B was tested with a temperature set point of 110°C. It was removed after six months prior to failure. A comparison of the internal condition of the Original Pump and New Pump B is shown in FIGURE 7.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.

Four differences in the new pump design are believed to have contributed to improved reliability:

  • 180% increase in rotational speed (180%) resulting in less residence time of the pumped gases.
  • Reduced operating temperature. Although many semiconductor processes benefit from a hot pump, this ALD process does not.
  • No rotor sleeves. The rotor sleeve in the new pumps was integrated with the rotor element itself. This not only removed the necessity for a coating, but appeared to strengthen the mechanism.
  • Heated purge. The purge in the new pumps is warmed to within 95% of the stator temperature to prevent cooling effects and reduce the chance of spontaneous condensation of gases.

Subsequent experience with a large number of pumps and customers has confirmed the advantages provided by the new pump design. New pump B is the recommended pump for this application with fixed service intervals varying between 4 and 6 months depending on the specific characteristics of the process supported.

Conclusions

Deposition of high-k materials using ALD is a widely used technique for today’s transistor and memory structures. At early introduction of the process in high volume manufacturing, pump reliability became a key concern. Careful analysis and cooperation with customers resulted in extending the service interval of the pumps from one to up to six months, an achievement that significantly reduced operating expenses and production losses due to wafer contamination and equipment downtime caused by unexpected pump failures. Analysis of the pump condition and test results showed that, more than temperature or purge, a different pump design provided the greatest improvement in service intervals. Design features that contributed most to the improved performance include increased rotational speed, integrated rotor sleeves, and increased purge injection temperature.

References

1. J. M. et al., “Impact of Hf-precursor choice on scaling and performance of high-k gate dielectrics hf-based high-k materials,” ECSTrans., p. 59, 2007.
2. X. L. et al., “Ald of hafnium oxide thin films from tetrakis (ethylmethylamino) hafnium and ozone,” J. of ECS, vol. 152, 2005.
3. H. Furuya, “Formation of metal oxide film,” Sep 2008, patent application: US20080226820 A1.
4. Y. S. et al., “Atomic layer deposition of hafnium oxide and hafnium silicate thin films using liquid precursors and ozone,” J. Vac. Sci. Tech. A, vol. 22, 2004.

The Facilities 450mm Consortium (F450C), a partnership of nanoelectronics facility companies guiding the effort to design and build the next-generation 450mm computer chip fabrication facilities, today announced Busch Vacuum Pumps and Systems as the eleventh member company to join the consortium. Busch Vacuum Pumps and Systems brings over 40 years of experience in the semiconductor manufacturing industry with particular focus on energy efficient, harsh-duty vacuums pumps.

“Busch’s expertise in vacuum pumps will be a great asset to the consortium as we discuss solutions for 450mm equipment transition,” said Adrian Maynes, F450C program director.

Busch Vacuum Pumps and Systems is one of the largest manufacturers of vacuum pumps in the world today, which includes a product portfolio specifically for semiconductor and related applications. Vacuum systems are a necessary component to the semiconductor manufacturing process as they remove byproduct materials following the implantation, deposition or etch processes. Busch’s next-generation vacuum pumps offer higher capacity with reduced utilities and limited downtime to support the unique demands of 450mm process platforms.

“The F450C is comprised of the top leaders in our industry, so we are honored to bring our proficiency in vacuum systems to the table,” said Charles Kane, president of Busch USA. “We have had our 450mm roadmap in place for some time, and we can now share our plans with the group to help further its 450mm vision and mission.”

The F450C is a partnership at SUNY’s College of Nanoscale Science and Engineering (CNSE) that is leading the global effort to design and build next-generation 450mm computer chip fabrication facilities. The collaboration includes 11 of the world’s leading nanoelectronics facility companies, including Air Liquide, Busch Vacuum Pumps and Systems, CH2M HILL, CS Clean Systems, Ceres Technologies, Edwards, Haws Corporation, Mega Fluid Systems, M+W Group, Ovivo, and Swagelok. Members of F450C are working closely with the Global 450mm Consortium (G450C), to identify viable solutions required for 450mm high-volume facility construction, with initial focus areas to include reducing tool installation cost and duration and improving facility sustainability.