Category Archives: Uncategorized

Entegris, Inc. today announced a new product for its VaporSorb line of airborne molecular contamination (AMC) filters. The new filter was created as an “all-in-one,” single-filter solution for capturing critical AMC in the chemical mechanical planarization process, or CMP, in semiconductor manufacturing. VaporSorb, which is a leading brand of filter used in cleanroom environments and for process tools during key steps in manufacturing, is the first such filter available for CMP process tools that protects against weak acids as well as other contaminants.

The new filter was designed specifically for CMP tools to provide balanced lifetimes for all critical AMC in a single filter which avoids the complexities of multi-filter handling. In addition, the filter retains the VaporSorb brand’s industry-leading service life to reduce both tool downtime and cost of ownership.

“Yield concerns in the CMP process, just as in the photolithography process, can be addressed by providing complete AMC protection. This means protecting against weak acids, as well as strong acids and other contaminants,” stated Entegris Product Marketing Manager for AMC Filtration Solutions, Marc Venet. “With VaporSorb CMP, we have a single solution that completely addresses AMC-induced corrosion defects in CMP processes.”

Examples of weak acids include acetic and formic acids (acetate; CH3COO and formate; HCOO) and nitrous acid (nitrite; NO2). Strong acids include HNO3, SO2, H2SO4 and HCl. These contaminants are causing concerns regarding defects and yield in CMP processes.

In July, the company launched the industry’s first “four-in-one” filter, the VaporSorb TRK for photolithography tools, to capture airborne organics, bases, strong acids and weak acids. VaporSorb filters use Entegris’ own unique mix of materials to capture airborne molecular contaminants, which are tailored to create application- and fab-specific filter solutions.

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced its SmartNIL large-area nanoimprint lithography (NIL) process. Available on all EV Group NIL platforms, including mask aligners as well as the industry benchmark EVG 720 and newly available EVG 7200 UV-NIL systems, SmartNIL provides a low-cost, large-area and high-volume-manufacturing solution for a variety of advanced devices, including:

  • Photonic-based devices such as light-emitting diodes (LEDs), lasers and photovoltaics
  • Micro arrays and nano-devices for medical devices and bioengineered applications
  • Advanced storage media, including newly emerging forms of non-volatile memory (NVM)

“SmartNIL is built on more than 15 years of NIL experience at EVG that includes the largest installed base of NIL systems worldwide, and is the only NIL technology currently used in high-volume manufacturing on substrates up to 200 mm today,” stated Paul Lindner, executive technology director at EV Group. “With our new EVG7200 UV-NIL system, which has industry-leading resolution down to 20 nm in volume production, EVG brings the advanced soft stamp and imprint capability of SmartNIL to larger substrates and smaller geometries. This enables our customers to achieve even greater cost-of-ownership (CoO) benefits and realize the full manufacturing potential of nanoimprint lithography.”

EVG153188

TSMC today announced the foundry segment’s first and most comprehensive ultra-low power technology platform aimed at a wide range of applications for the rapidly evolving Internet of Things (IoT) and wearable device markets that require a wide spectrum of technologies to best serve these diverse applications. In this platform, TSMC offers multiple processes to provide significant power reduction benefits for IoT and wearable products and a comprehensive design ecosystem to accelerate time-to-market for customers.

TSMC’s ultra-low power process lineup expands from the existing 0.18-micron extremely low leakage (0.18eLL) and 90nm ultra low leakage (90uLL) nodes, and 16nm FinFET technology, to new offerings of 55nm ultra-low power (55ULP), 40ULP and 28ULP, which support processing speeds of up to 1.2GHz. The wide spectrum of ultra-low power processes from 0.18-micron to 16nm FinFET is ideally suited for a variety of smart and power-efficient applications in the IoT and wearable device markets. Radio frequency and embedded Flash memory capabilities are also available in 0.18um to 40nm ultra-low power technologies, enabling system level integration for smaller form factors as well as facilitating wireless connections among IoT products.

Compared with their previous low power generations, TSMC’s ultra-low power processes can further reduce operating voltages by 20 percent to 30 percent to lower both active power and standby power consumption and enable significant increases in battery life — by 2X to 10X — when much smaller batteries are demanded in IoT/wearable applications.

“This is the first time in the industry that we offer a comprehensive platform to meet the demands and innovation for the versatile Internet of Things market where ultra-low power and ubiquitous connectivity are most critical,” said TSMC President and Co-CEO, Dr. Mark Liu. “Bringing such a wide spectrum of offerings to this emerging market demonstrates TSMC’s technology leadership and commitment to bring great value to our customers and enable design wins with competitive products.”

“TSMC’s new ultra-low power process technology not only reduces power for always-on devices, but enables the integration of radios and FLASH delivering a significant performance and efficiency gain for next-generation intelligent products,” said Dr. Dipesh Patel, executive vice president and general manager, physical design group, ARM. “Through a collaborative partnership that leverages the energy-efficient ARM Cortex-M and Cortex-A CPUs and  TSMC’s new process technology platform, we can collectively deliver the ingredients for innovation that will drive the next wave of IoT, wearable, and other connected technologies.”

“Low power is the number one priority for Internet-of-Things and battery-operated mobile devices,” said Martin Lund, Senior Vice President and General Manager of the IP Group at Cadence. “TSMC’s new ULP technology platform coupled with Cadence’s low-power mixed-signal design flow and extensive IP portfolio will better meet the unique always-on, low-power requirements of IoT and other power sensitive devices worldwide.”

“Silicon Labs welcomes TSMC’s ultra-low power initiative because it will enable a range of energy-friendly processing, sensing and connectivity technologies we are actively developing for the Internet of Things,” said Tyson Tuttle, Chief Executive Officer, Silicon Labs. “We look forward to continuing our successful collaboration with TSMC to bring our solutions to market.”

SEMI-GAS Systems, a provider of ultra-high purity gas delivery equipment, recently broadened the capabilities of its custom Xturion Blixer gas blender product line. The new options include system auto-purging and a dynamic stream gas analyzer to facilitate the blending of highly corrosive gases into gas mixtures, as needed in semiconductor and microelectronics fabrication processes.

Based on a preset component ratio, Blixer receives regulated process gases from two or more independent gas sources and blends them to the desired composition in the system’s on-board accumulator tank. The system then draws from the accumulator tank and delivers the uniform gas mixture through the process gas outlet to a tool or gas distribution manifold.

To oversee all of these system operations, Blixer utilizes a SEMI-GAS GigaGuard PLC controller with an ergonomically front-mounted 8.0″ color touch screen. In addition, the PLC controller manages the electrical components and pneumatically actuated valves for process gas delivery and fully automated purging sequences.

Mass flow controllers regulate the volumes of component and balance gases to be blended according to the controller’s pre-programed blend recipe. Recipe percentages can be adjusted by the system’s operator through the touchscreen, allowing for on-site process modifications.

To ensure a highly accurate blend composition, the GigaGuard PLC works in conjunction with the system’s dynamic stream gas analyzer by continuously withdrawing a small sample from the accumulator tank. If the analyzer signifies the mixture is outside of the preset tolerance range, the system will automatically adjust the blend percentages to approach the set point value.

Should the system recognize an error, external system lights and audible alarms will commence, signaling operators of warnings and/or shutdown conditions. To provide emergency manual shutdown, an externally mounted UL-approved Emergency Off (EMO) push button is provided. Remote shutdown options are also available with an optional kit that can communicate via an Ethernet network, enabling centralized, facility-wide equipment monitoring and data collection.

Like all SEMI-GAS custom Xturion systems, each unit is user-configurable to accommodate application-specific flows, pressures, mixture percentages, and blending accuracies. Various analyzer configurations are also adjustable to precisely tailor the system’s operational needs for each application.

All Blixers meet SEMI S2 and Uniform Fire Code requirements and are equipped with UL-approved fire sprinklers, a 1/4″ high-impact polycarbonate plastic safety viewing shield, and gas identification labels. The standard enclosure is constructed of powder coated 11 gage cold rolled steel and is 87 inches tall, 40 inches wide and 28 inches deep, including an 8-inch exhaust collar for venting to the facility’s ducting.

Internal panel components are autogenously welded, helium leak tested and certified to the highest purity standards. All valves, regulators, transducers, tubing and fitting bodies are 316L stainless steel to prevent deterioration from the corrosive process gases and resulting mixtures.

SEMI-GAS Systems Gas Blender

Mentor Graphics Corporation today announced the call-for-entries of its 25th annual Technology Leadership Awards (TLA) competition, continuing its tradition of recognizing excellence in printed circuit board (PCB) design. Started in 1988, this program is the longest running competition of its kind in the electronic design automation (EDA) industry. It recognizes engineers and CAD designers who use the innovative technology provided by Mentor Graphics to address today’s complex PCB systems design challenges and produce products.

Prominent experts in the PCB industry will judge the contest, including: Happy Holden, Gentex Corporation (retired); Gary Ferrari, FTG Circuits technical support director; Pete Waddell, president of UP Media and publisher of Printed Circuit Design & Fab/Circuits Assembly Magazine; Andy Kowalewski, Metamelko LP senior interconnect designer; Rick Hartley, L-3 Communications/Avionics Division senior principal engineer; Susy Webb, Fairfield Nodal senior PCB designer; and Charles Pfeil, Mentor Graphics Systems Design Division director of engineering.

“Mentor continues to be in the forefront of recognizing and rewarding excellent designs. The TLA awards allow designers who are using innovative ideas and design practices to be rewarded for their outside-the-box thinking in a way that nothing else in the industry does,” stated Susy Webb, senior PCB designer, Fairfield Nodal. “These new practices will add to the general knowledge and creativity of the whole community of designers by leading them to try new and different concepts themselves.”

This year, entrants will be able to submit their design accomplishments in any of six categories representing a wide variety of industries:

  • Consumer electronics and handheld
  • Industrial control, instrumentation, security and medical
  • Military and aerospace
  • Computers, blade and servers, memory systems
  • Telecom, network controllers, line cards
  • Transportation and automotive

“Our annual PCB Technology Leadership Awards contest provides a worldwide opportunity for talented designers to showcase innovative designs.  Each year we see ever increasing challenges and the use of new technologies implemented,” said Dan Boncella, director of marketing, Mentor Graphics Systems Design Division. “The submissions for this contest are really quite impressive. We are expecting this year’s competition to be bigger than ever, with entries from many major electronics companies, as well as from smaller teams.”

Winners will be named for each category, plus a “Best Overall Design” recipient. The timeframe for submitting entries is from August 19th through September 26th, 2014. Entrants can apply online at www.mentor.com/go/tla.

The Mentor TLA contest is open to any designs created with the Mentor PCB solutions, including the Board Station, Xpedition and PADS design flows. Judging will be based on overcoming complexity challenges, such as small form factor, high-speed content, design team collaboration, advanced PCB fabrication technologies and design-cycle time reduction. Winners will be announced December 1st on a worldwide web broadcast.

Entegris, Inc., announced last week the launch of GateKeeper GPS, its next-generation of automated regeneration gas purification system (GPS) technology. Three new GPS gas series products for delivering on-site solutions have been developed on the GateKeeper platform and are now available for semiconductor, compound semiconductor and solar manufacturing processes. The GateKeeper GPS platform offers a variety of new benefits, including a new control system for increased process control performance and new options for gas monitoring.

Building on over a decade of experience, this 4th generation solution is designed to help lower operating costs while ensuring continuous uptime though the use of parallel purification and automated purifier regeneration. This eliminates the need to return a purifier for regeneration or install a replacement purifier, adding efficiency and improving system safety.

“The GateKeeper GPS family of gas purification systems sets new standards for process control in high-volume manufacturing,” said Product Marketing Manager Jeff Hermann of Entegris’ Gas Microcontaminantion Control business. “Customers asked for optimized system designs with more features in a smaller footprint, while reducing operating costs and increasing process control. We are excited to deliver GateKeeper GPS models that meet these requests.”

With an industry-leading, ultra-small footprint, the new GateKeeper GPS platform offers new system options, including gas monitoring features and an on-board back-up system. It also maintains ambient temperature operation which helps keep energy costs lower.

The GateKeeper GPS series (available in HX, DX, and Z2 series) are the first systems available in the new platform and offer a flow rate in the 20-60 meters-cubed/hour (m3/h) range.

The HX series delivers ultrapure hydrogen, nitrogen, or argon gas with output purity in the parts-per-trillion (ppt) levels, while removing contaminants such as H2O2 O2, CO, CO2, and non-methane hydrocarbons. HX series applications include Metal Organic Chemical Vapor Deposition (MOCVD), Atomic Layer Deposition (ALD) and Low Temperature Epitaxy (LTE).

The DX series can deliver ultrapure carbon dioxide (parts per trillion levels), which is required for advanced lithography tools – such as immersion argon-fluoride steppers – while removing contaminants such as acids and bases, refractory compounds, condensable and non condensable organics, and moisture.

The Z2 Series safely delivers XCDA (Extreme Clean Dry Air) purified purge gases to the most advanced scanner platforms, including dry and immersion-based lithography tools. This system removes contaminants such as acids and bases, refractory compounds, condensable organics, and moisture from CDA (compressed dry air) gas.

Bruker today announced the release of Inspire, the first integrated scanning probe microscopy (SPM) infrared system for 10-nanometer spatial resolution in chemical and materials property mapping.  The new and unique Inspire system incorporates Bruker’s proprietary PeakForce IR mode to enable nanoscale infrared reflection and absorption mapping for a wide range of applications, including the characterization of microphases and their interfaces in polymer blends, plasmons in the two-dimensional electron gas of graphene, and chemical heterogeneity in complex materials and thin films.

The Inspire system features sensitivity down to molecular monolayers, even on samples not amenable to standard atomic force microscopy techniques.  Inspire utilizes fully integrated infrared scattering, scanning near-field optical microscope (SNOM) optics, point-and-click alignment, and the full suite of exclusive PeakForce Tapping technologies found on Bruker’s performance-leading AFMs, from ScanAsyst self-optimization to quantitative PeakForce QNM nanomechanics and PeakForce KPFM work function measurements. The resulting Inspire solution now provides instant access to the highest resolution chemical, plasmonics, nanomechanical, and electrical characterization for new scientific research and nano-analytical frontiers.

“The infrared scattering SNOM technique has great potential for new scientific discoveries through highest resolution spatio-spectral imaging,” explained Professor Markus B. Raschke, Departments of Physics and Chemistry, and JILA, at the University of Colorado, Boulder. “Its wide, productive application has been held back by the lack of an integrated solution.”

“With Inspire, we now have provided this integrated solution, which is a major milestone on our path to enable even more widespread AFM adoption by providing new, nanoscale chemical information to researchers,” added David V. Rossi, Executive Vice President and General Manager of Bruker’s AFM Business. “Inspire builds upon our exclusive PeakForce Tapping technology to provide a complete set of the highest resolution nanochemical and nanomechanical property maps together with topography in a single SPM measurement.”

Lam Research Corp., a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today announced the addition of atomic layer etch (ALE) to its portfolio of atomic layer deposition (ALD) products. The new production-worthy ALE capability on the 2300 Kiyo F Series conductor etch system delivers atomic-scale variability control to enable next-generation wafer processing. Together with Lam’s VECTOR ALD Oxide product for dielectric film ALD and ALTUS systems for tungsten metal film ALD, these products support the industry’s shift toward manufacturing chips at the atomic scale, where every atom matters.

As feature sizes continue to shrink and new device architectures are introduced, controlling process variability in manufacturing becomes much more challenging. Looking ahead to next-generation requirements, feature dimensions will soon have tolerances that are on the order of a few atoms. At the same time, device aspect ratios continue to increase, and topographies are becoming even more complicated. For the most advanced structures, conventional plasma etch and deposition processes are unable to meet these requirements, and new approaches are needed. ALE and ALD provide a solution by using cycles of multi-step processes that deposit or remove a few atomic layers at a time, thereby delivering precise control. The challenge is to deliver sufficient productivity to make these processes suitable for increasingly cost-sensitive manufacturing environments.

Lam’s new ALE capability on the 2300 Kiyo F Series conductor etch system provides both the productivity and technology needed. The product leverages fast gas switching and advanced plasma techniques in the reactor to boost throughput, while dynamic RF bias enables the directional etching required to remove material in high aspect ratio (deep and narrow) features. As the latest offering in Lam’s market-leading Kiyo family, the 2300 Kiyo F Series system continues to provide superior uniformity and repeatability enabled by a symmetrical chamber design, advanced electrostatic chuck technology, and independent process tuning features.

The recently announced VECTOR ALD Oxide system provides dielectric films used for the liners and spacers needed in FinFET structures and through-silicon vias (TSVs), as well as for spacers in multiple patterning schemes. The product reduces variability through atomic-scale control and deposits highly conformal ALD films, even for challenging high aspect ratio features. The VECTOR ALD Oxide system also provides overall cost of ownership benefits with productivity that is comparable to or better than batch furnaces, along with the cycle-time benefits of single-wafer processing.

Lam’s ALTUS product family is the benchmark for tungsten film production and has been in use for a number of years for the atomic-layer deposition of tungsten and tungsten-nitride metals. Proprietary deposition technology and system architecture enable the formation of highly conformal barrier and metal films that offer low resistivity. In addition, the ALTUS ALD process is able to completely fill the re-entrant structures that are commonly found in advanced memory and logic applications.

“As the industry continues to aggressively scale device dimensions, new methods of manufacturing are needed — particularly for the etch and deposition processes that will create those features,” said Dave Hemker, senior vice president and chief technology officer at Lam Research. “Through continuous innovation, we are meeting those needs with new atomic-scale processing capabilities that provide chipmakers with advanced technology, process control, and productivity that enable next-generation device manufacturing.”

Sonoscan has announced its AW322 200 fully automated system for ultrasonic inspection of MEMS wafers. Based on Sonoscan’s C-SAM technology, the system images and identifies internal gap-type defects down to 5 microns in size. It is especially useful for finding non-bonds, voids and other defects in the seals surrounding the MEMS wafer cavities.

AW322 200 system features include:

  • Two loadports, two stages and multiple transducers, enabling it to image two 8-inch MEMS wafers simultaneously.  Other models in the AW series are available to accommodate wafer sizes from 100-300mm.
  • The SECS/GEM-enabled Robotic Handling Station includes alignment and drying operations.
  • Waterfall technology to minimize water exposure during scanning.
  • Sonoscan’s advanced analysis software for accurate application of the user’s accept/reject criteria.

In operation, the Robotic Handling Station counts and unloads wafers from the carriers, aligns wafers for scanning and positions wafers on the stage. The transducers travel over 1 m/s while pulsing ultrasound at frequencies up to 230 MHz and receiving thousands of return echoes per second.  Both the transducers and the 500 MHz bandwidth pulser/receiver were designed and manufactured by Sonoscan.

The key defects imaged in MEMS wafers are non-bonds of the seal to a wafer, voids within the seal material and other gap-type defects that can compromise the hermeticity of the cavity.

After scanning, both the acoustic wafer image and the wafer data can be used for accept/reject determination. Criteria are defined by the user with respect to acceptable defect counts and sizes.

White areas in this 230 MHz Sonoscan acoustic image show incomplete (left) and complete (right) breaks in the seal around MEMS cavities.

White areas in this 230 MHz Sonoscan acoustic image show incomplete (left) and complete (right) breaks in the seal around MEMS cavities.

radiant zemaxRadiant Zemax, a provider of light and color test and measurement systems, announces the release of the ProMetric Y line of imaging photometers. Optimized for use in high volume production environments, these specialized camera systems, available in 16 and 29MP models, are ideal for a wide range of quality inspection applications in consumer electronics, lighting, automotive, aerospace, and other industries. Paired with Radiant Zemax’s TrueTest analysis software, ProMetric Y delivers a complete factory test solution for measuring brightness, gauging uniformity, and detecting defects and surface flaws in flat panel displays, illuminated keyboards, instrument panels, and other products.

Imaging photometers and colorimeters increase output with improved accuracy and speed over manual inspection methods, delivering a high return on investment. Out-of-spec products can be corrected or rejected before they make it into the supply chain and into the hands of customers, reducing returns and protecting brand integrity.

Radiant Zemax has developed its latest technologies to meet the needs of its high-volume production customers, introducing its ProMetric I family of colorimeters in 2013, and now the ProMetric Y series photometers. Like the ProMetric I series, ProMetric Y is built around a cooled interline CCD sensor and incorporates an electronic shutter for fast image capture. ProMetric Y series is further optimized for faster measurements to achieve even shorter takt times. Housed in an all new, compact and lightweight housing, it occupies less space on the production line and represents a cost-effective solution for applications where color operation is not required. The ProMetric Y16 and ProMetric Y29 both incorporate “Smart Technology” for easy set up and use, and feature a 12-bit dynamic range for high performance measurement.

“Many of our production test customers can accomplish their applications using photometric measurements”, explains Doug Kreysar, Chief Solutions Officer at Radiant Zemax. “We improved upon the high resolution and flexibility of our existing CCD technology, giving it all-new electronics, and housed it in a rugged, compact package to provide these customers a best-in-class photometer that is both cost-effective and highly capable.”

The ProMetric Y family comes standard with Radiant Zemax’s ProMetric image analysis software; it can be paired to TrueTest™ Automated Visual Inspection system to provide a complete, turnkey solution or the application-specific PM-KB for automated visual inspection of illuminated keyboards.