Issue



Tying the great machine together


09/01/2002







As manufacturing execution systems (MES) evolve, the futuristic vision of the world's semiconductor fabs becomes clear

by John Haystead

Click here to enlarge image

Anyone who's spent time with a semiconductor process engineer must wonder which came first, the beeper or the fab? Clearly, the latter couldn't have functioned very well without the former.

It must indeed be difficult to envision a world where everything an engineer needs to know about a particular tool, on a particular process line, running on the other side of the planet-in fact, everything he might want to know about the last chip off that line-is just a few keystrokes away.

The truth is that such a scenario is not so fantastic. Each day, builders and users of factory automation systems and software are brining this futuristic vision closer to reality.

People cost money
While it's a maxim in the semiconductor industry that increased automation directly translates into greater productivity, it may not be equally appreciated that this isn't necessarily due exclusively to efficiency gains from robotically-operated machines over humans.

Rather, it's because contamination means lost product, and humans are the single greatest source of contamination. Therefore, the more humans that can be removed from the production environment through automation, the greater the productivity.

Today, new fabs typically cost in the neighborhood of $1 billion, of which approximately $700 million goes toward capital equipment. As explained by Robert Castellano, president of The Information Network (New Tripoli, PA), a market re search firm, this means that with a fab revenue-to-cost ratio of about 1.4 to 1, just a 1-percent increase in equipment utilization can provide an additional $9.8 million in annual revenues.

Conversely, "one additional defect/wafer due to contamination or damage can potentially result in million dollar losses in annual revenue," says Castellano. Considering that "operators themselves contribute some 40 percent of the total contamination in a fab," there's considerable financial incentive to get operators out of the cleanroom via automation.

Enter manufacturing execution systems (MES)
The implementation of automation involves both hardware and software. On the hardware side, this means sophisticated material-handling systems, including robotics, mini en vi ron ments, cluster tools and specialized carriers and interfaces.

But, more im portantly, a software-based MES is needed to integrate and manage all of these elements. MES software monitors and manages all of the automated systems and tools in real time, integrating with tracking and work-in-progress software to efficiently direct the flow of product through the fab, maximize yield and reduce costs.

Efficient and robust software is at the core of effective factory automation systems. Binding together MES, automated material handling systems (AMHS) and other elements of the total business operation, software design poses an increasingly challenging task with each new level of sophistication and integration.

As pointed out by Brooks-PRI (Chelmsford, MA) president and CEO, Robert Therrien, "Customers are now realizing the importance that software plays in making the hardware work. With pilot lines, decisions were often split, with different teams evaluating hardware and software vendors and leaving the integration of these systems up to the customer. Now, as they move into production, they're not just copying their pilot line systems-they're looking for mature software and hardware systems with advanced features."

Brooks-PRI's PROMIS software suite, for example, combines planning, scheduling, costing, document control, production and performance management applications.

Similarly, Applied Materials' (Santa Clara, CA) WorkStream MES software and follow-on FAB300 for 300 mm wafer facilities provides cluster tool and front-opening unified pop (FOUP) management, single wafer tracking and advanced fab process execution. Application components include quality, equipment and recipe management, dispatching and tool integration.


Today, new fabs typically cost in the neighborhood of $1 billion, of which approximately $700 million goes toward capital equipment. As explained by Robert Castellano, president of The Information Network, a market research firm, this means that with a fab revenue-to cost ratio of about 1.4 to 1, just a 1-percent increase in equipment utilization can provide an additional $9.8 million in annual revenues. Monitoring software can improve equipment utilization. (above)
Click here to enlarge image

A survey recently conducted by the Manufacturing Enterprise Solutions Association (MESA International; www.mesa.org) shows that MES systems have reduced product defects by an average of 18 percent. Yet, today, the extent to which MES systems are implemented and integrated varies greatly from fab to fab.

There are a number of reasons for this-the first, of course, being cost. Another, however, is that both the concept and the technology of MES is relatively new, with many different companies and technologies involved. Some elements are designed in by process and metrology tool manufacturers, others by material-handling and tracking vendors, others by cost-analysis and business-model developers as well as contamination control companies.

Dan Latrimurti, Applied Materials' FAB300 product manager, says the company's approach is predicated on an open-system philosophy. "Our goal is to embrace other applications within our workflow domain, whether contamination control, environmental monitoring, etc., as if they were our own." According to Latrimurti, as part of the FAB300 development effort, Applied works with the Application Program Interface (API) of third-party monitoring systems together with their own software to define a "business process or business rules," that would, for example, trigger a specific activity such as an equipment calibration, etc.

"End-users are extremely positive about MES system capabilities," says Latrimurti. "It gives them a single environment to describe processes that in the past were controlled by custom code or hard-wiring."

An eye for particulate
Contamination monitoring systems report information to MES across multiple levels of a fab's operation: the entire cleanroom, minienvironments, process tools and wetbenches, system interfaces and even individual workers. The closer that automated monitoring moves to the actual production process, however, the more difficult, complex and expensive it becomes.

Today, automated contamination control monitoring at the process tool level is almost exclusively accomplished indirectly by monitoring and analyzing defects caused by contamination rather than by measuring contamination levels themselves. Defect data is collected and analyzed by sophisticated metrology tools, then passed to MES systems where it is integrated with other information sources, and reported in some fashion to process managers.

KLA-Tencor (San Jose, CA) is one company that provides these kinds of defect monitoring and analysis tools. Almost always, these tools are interfaced to MES and other factory automation systems to help isolate and allow for rapid correction of contamination sources.

The company's "Surfscan SP1 DLS" system detects yield-limiting defects on unpatterned wafers at 0.13-micron design rules and below, while the higher-throughput "AIT" family of tools is implemented in-line to monitor patterned-wafer production processes.

Applied Materials also offers defect-inspection metrology systems. Its "Compass" patterned-wafer inspection system can detect critical defects to 100-nm technology levels while the "SEMVision G2," provides inline process monitoring at sub-100 nm. The "Excite" process-tool monitoring system combines blanket and patterned-wafer inspection with modular optics-based particle detection for volume inspection of product wafers immediately after processing.

However, spotting defects is only the first part of the control process. The tools must also be able to quickly identify the source of the defects if the impact on yield is to be minimized.

"The ability to accurately classify different defect types is crucial," says Ralph Spicer, director of product development for KLA-Tencor's Surfscan Division. "If you don't do this accurately, you won't get a clear signal of what's actually happening."

Spicer points out that wafers have often passed through multiple process steps before they are scanned for defects by a metrology tool. "Without the ability to specifically and accurately identify defect types and causes, you may well take the wrong tool offline, and/or significantly delay discovery of the actual cause."

Online versus offline
Having collected and analyzed the defect data, the next determination to be made is where to send it and what to do with it once it gets there.

According to John Biasi, vice president of industry solutions, Brooks-PRI automation, although data may be immediately available from a metrology tool indicating that a particular process tool is generating a "killer defect," if an automated action plan or response hasn't been programmed up front, there may be a substantial delay before any corrective action is taken.

"Different fabs may have different alert levels and different levels of response, but every fab will at least want to know about any potential problem immediately, before more lots are potentially ruined," says Biasi.

Another factor is that metrology tools are frequently not integrated directly into the production line. Instead, they are setup offline to intermittently sample unpatterned test wafers. As a result, their data is not immediately available to an MES for action. Finally, as observed by Castellano, in-line monitoring technology is still very much at the rudimentary level. "Where in-line monitoring tools are in place, they're being used to manage specific tool functions such as thin-film thickness. In-line contamination monitoring and control is another matter."

As such, end-users haven't yet developed a high enough confidence level in in-line sensors to relinquish control of their processes and tools. KLA-Tencor's Spicer notes that although defect data from their metrology tools is generally sent up to an MES, customers are usually wary of having a tool automatically shut down. "Taking a tool offline in error can also be costly, and they want to have someone review and verify the data before any automatic action is taken."

Both defect detection tool and MES developers must also balance the requirements of automated control systems with the equally important needs of engineers looking for detailed and long-term metrology data. Says Spicer, "This is actually one of the biggest challenges, depending on the type of engineers involved, since they may want very different types of information."

Throughput issues
Production throughput is perhaps the greatest consideration when it comes to deciding whether to insert a metrology tool into a process line. As pointed out by Castellano, such tools must be able to keep up with typical throughputs of 60 wafers per hour or a wafer per minute.

"It's a balancing act between precision of results and having an in-line system," says Castellano. "To increase the throughput, you have to accept fewer data points to monitor on the wafer-tens instead of thousands. The quality of results is therefore not as good as those from random test wafers examined offline."

Brooks-PRI's vice president of business development for tool automation, Peter Van der Meulen, says although they do have some requests for in-line metrology capabilities, "in most cases, customers don't yet see the cost-effectiveness when faced with the choice of purchasing and im ple menting multiple in-line metrology sta tions that will also impact throughput versus a single stand-alone station sampling offline."

KLA-Tencor's Spi cer agrees, noting that "when you couple an inspection station to a process tool, you lose the flexibility of being able to route product across multiple tools. If you lose the metrology tool, you also lose the process tool."

Still, Van der Meulen believes real-time, in-line defect analysis will eventually be the norm. In the meantime, however, he suggests the use of less-sophisticated, less-costly sensors such as airborne particle counters. "Elevated particle counts don't necessarily track to specific particle-related defects or yield, but they'll usually give you a good indication," he says.

Even at this level, however, there's a cost factor. The integration of an airborne particle sensor can add 15 to 20 percent to front-end costs.

In-situ particle measurement
Although not yet common, there is a growing trend toward integrating particle measurement sensors directly into certain process tool chambers (in-situ).

Inficon (Syracuse, NY), for example, has just introduced a new scanning-laser particle detector aimed at pump-line and above-wafer applications in process chambers, transfer chambers and loadlocks. The "Stiletto's" scanning laser monitors a larger volume than stationary laser beam systems, and integrates with Inficon's "FabGuard" sensor integration and analysis system, making it particularly suited to in-situ process-control and monitoring applications.

Another example is Pacific Scientific Instruments' (Grants Pass, OR) HYT PM-450 sensor bus controller. The new controller integrates in-situ particle monitoring, process control, Sensor Bus and Web-server technology. Sensor Bus is Semiconductor Equipment and Materials International's (SEMI) object-based communication system, promoting interoperability by encapsulating data into well-defined objects. The Apache web-server capability allows information such as sensor and controller status, particle counts, and diagnostics to be monitored from anywhere on a network via a standard web browser.

Advanced Energy Industries Inc. (AE; Fort Collins, CO) has integrated the PM-450 controller into its "Symphony" Equipment Productivity Manager (EPM) system. Symphony collects and integrates data from multiple in-situ sensors, including pressure, temperature, residual gas as well as particle count.

As noted by AE's senior vice president of business development, Joe Monkowski, "The inherent time resolution of in-situ sensors combined with tool-context information provides critical insight into the process."

One critical advantage of integrating in-situ sensors such as particle counters directly to the process tool's control system is that the tool can be automatically shut down if a problem is identified. As pointed out by Dean Robinette, Pacific Scientific Instruments applications engineer, "When you have an operation that's running 100 wafers at a time, that can save you a lot of money."

According to Robinette, the implementation of in-line particle counting is still very much at the beginning stages. "We've seen some activity with 8, but it will certainly increase with 300 mm due to the cost of silicon and the increased level of automation. Eventually, fully-integrated in-situ sensors will be the norm."

Still, Brooks-PRI's Biasi says before in-situ particle measurement will become more prevalent, vendors will need to demonstrate the value/cost benefits of their monitoring and reporting. "Ultimately, end users will make the decision. OEMs will provide the capability but won't necessarily want to integrate it into every machine, at least not yet."

E-diagnostics
Another significant new capability is emerging in parallel with the implementation of increased fab automation systems-the ability to remotely monitor and manage fab operations and, in fact, remotely diagnose problems on individual process lines or tools.

Developers at all levels, from sensors to tools to complete factory automation systems, are designing web-based access capabilities into their systems, offering clear benefits to both end-users and the OEM equipment suppliers that support them.

As noted by Brooks-PRI's Van der Meulen, the majority of equipment failures in a fab are software-related and "E-diagnostic tools will provide an excellent way to fix software issues remotely." Initially, at least, contamination control monitoring and management will not be a major application, but as more in-situ sensors and in-line monitoring tools are implemented, this will certainly change.

Overall, factory automation systems and capabilities will continue to evolve. Like most aspects of semiconductor manufacturing, more work is needed on software and communication standards. As Brooks-PRI's Biasi concludes, "The challenge is to make all the elements talk and work together. Today, the costs of failure are so much greater."


John Haystead is a special correspondent to CleanRooms magazine.

 

Automation products are here to stay

null

The ability to remotely monitor and manage fab operations and remotely diagnose problems on individual process lines or tools is emerging. Here are a few of the up-and-coming products in this arena:

Semiconductor manufacturing software
According to the company, by integrating all of the data engineers need to access to understand and solve problems-including planning, product, process and tool data-the software helps you solve engineering issues. These include finding a problem root cause, characterizing a new product design code, qualifying a new resist vendor, qualifying a new tool, identifying the drivers for increased product performance, improving preventive maintenance schedules, reducing monitor wafers and eliminating optical inspection. Brooks-PRI Automation Inc., Chelmsford, MA, www.brooks-pri.com

e-Diagnostics
ILS Technology's e-Centre e-Diagnostics solutions-built on IBM's DB2 database, WebSphere infrastructure software and eServer systems-are being deployed in the IBM Microelectronics Division's 300 mm wafer fabricator. E-Centre lets tool suppliers and chipmakers implement e-Diagnostic solutions based on an open architecture, avoiding the pitfalls, time loss and costs of self-installation and self-integration of custom applications. The two companies jointly market and sell e-Centre and ServiceNet, an ILS solution based on IBM technology designed for the secure transmission and sharing of data, according to company claims. IBM, White Plains, NY, www.ibm.com ILS Technology LLC, Boca Raton, FL, www.ilstechnology.com

300 mm automation software tools
The Smart-Fab suite incorporates the company's AdvanTag wafer identification and tracking technology and leverages best-practice processes and methods to provide actionable information from data available in an automated semiconductor factory. Smart-WIP is for work-in-process monitoring. The tool suite also includes the FOUP Management System, which tracks the usage and maintenance of the front-opening unified pods (FOUPs) used to transport and store 300 mm wafers, and the 300FL Monitoring System, which analyzes the performance of the front-load-to-tool interface to help ensure the load port is operating efficiently. Asyst Technologies Inc., Fremont, CA, www.asyst.com

Implementation of automation may also involve robotics hardware. The following products are a representative sampling of what is available today:

Robotic teaching
EZTeach is an intelligent teach pendant terminal based on Pocket PCs such as Hewlett Packard HP's Jornada or Compaq's iPAC. According to the manufacturer, the product provides the full functionality, capabilities and intelligence of a traditional host computer in the footprint of a handheld device. It provides intelligent dedicated teaching wizards for a variety of equipment, including load ports, pre-aligners, open cassettes, process chambers and more. Reportedly, by offering pre-defined training steps, the wizards reduce teaching time, increase consistency in the teaching process and minimize the dependency of the process on the qualifications of the operator. The system is American National Standards Institute (ANSI; Washington)-compliant, providing an emergency off button and optional dead man switch. Genmark Automation Inc., Sunnyvale, CA, www.genmarkautomation.com

Robot diagnostics
The Black Box is a small electronic device installed inside a robot body to collect and store critical data on the performance and operation of the robot. The real-time diagnostics ability lets you lengthen equipment lifecycles by maintaining optimum maintenance schedules. Genmark Automation Inc., Sunnyvale, CA, www.genmarkautomation.com

Wafer transport robot
The FastSwap atmospheric robot is capable of replacing a processed wafer with a fresh one in less than four seconds, according to the manufacturer. It can also be used for cassette transfer applications, handling two wafers at the same time and thereby reducing the time required to transfer a load of wafers from one cassette or FOUP to another by up to 50 percent. Its dual-yaw wrist architecture enables each wrist/end effector to rotate

360 degrees and operate independently of the other. Its design can support both vacuum and edge-grip (active and passive) types of end-effectors. Asyst Technologies Inc., Fremont, CA, www.asyst.com

Automated equipment front-end system
The Plus Portal XT incorporates the IsoPort 300-mm load port, which includes a configurable FOUP latch-key mechanism, multiple info pad and mechanical pins and multiple E-84 ports for interoperability. It also includes the FastSwap wafer-handling robot. The system also comes with the Smart-Plus control system, an extendable interface that ensures overall system extendibility. The operating system and software are compatible with current Semiconductor Equipment and Materials International (SEMI) standard protocols required for all 300 mm and advanced 200 mm factory automation systems. Asyst Technologies Inc., Fremont, CA, www.asyst.com