Issue



Automation takes aim at contamination


10/01/2004







Cleanroom automation reduces cycle times, improves yields and contributes to contamination monitoring and control

BY JOHN WILLIAMSON

Advances in cleanroom automation continue to be driven by collaboration between suppliers and the industries benefiting from increased throughput and reduced cycle times. New products and processes deliver substantial value to the semiconductor and pharmaceutical/biotech industries. But when, how and the extent to automate require serious thinking on the part of management. Moreover, no matter how elegant or sophisticated the automation process is, contamination issues must always be addressed. Here are some industry perspectives.

Automation and contamination

Click here to enlarge image

"Contamination challenges in handling semiconductors are now many generations into the technology, but many of the same issues remain," says Theron Colvin, senior engineer, Product Technology, at MicroChip Technology Inc. (Tempe, AZ; www.microchip.com). "Every time you move wafers into or out of the front opening unified pod (FOUP) there is potential for damage and contamination. The smoother the FOUP is handled, the less likelihood of wafer damage.


"The real issue always comes from continuous wafer handling through the process," Colvin says. "Wafers are extracted from the FOUP and placed into the process tool, requiring a door opening, an extraction, handling of the wafer by the interior robot or tool mechanization, reloading the wafer into the FOUP and closing the door. Meanwhile, the FOUP is not hermetic. It does not have capabilities to prevent entry of airborne molecular contaminants (AMCs) or other forms of contamination that have an adverse effect on wafers.

"When you come right down to it," he says, "the FOUP is a compromise of structures, features and materials to provide a cost-effective batch conveyance means within the fab."

Colvin suggests a way to improve the microenvironment is discovering solutions that minimize wafer hand-offs and handling devices. "This, however, is diametrically opposed to batch handling, which helps minimize automated material handing system (AMHS) costs of wafers," he says. "The AMHS has to carry enough wafers to make it efficient for the number of transactions necessary to meet the throughput."

According to Colvin, there are various research efforts underway to develop new methods. "Inert gas FOUPs are being considered, especially where there is potential for surface oxidation, resist breakdown or feature degradation," he says. "But this is an expensive solution and has never been cost effective on the whole. To achieve this the FOUP has to be made hermetic and purged. Since there is a limited 'shelf life' it has to be run or purged again, all adding to complication while not guaranteeing the internal environment. Vacuum has been considered, but it also cannot be guaranteed."

"Standard Mechanical Interfaces (SMIFs) and FOUPs that are docked to each process tool contribute to particle control by providing localized environments," says Peter Csatary, vice president of manufacturing technology, M+W Zander (Stuttgart, Germany; www.mw-zander.com). "But these in themselves can be sources of contamination through outgassing, mishandling and wrong airflow design.

"Companies can improve yield and reliability by introducing contamination control procedures locally," Csatary says. "For example, when only robots open sealed SMIFs and FOUPs, no human intervention occurs. With processes focused on and followed to maintain cleanliness and control contamination, it is possible to exceed a Class 0.1 (ISO Class 2) or even Class 0.01 (ISO Class 1) environment under operational conditions."

"Contamination control and automation are linked many ways," says Jim Hermanowski, director of marketing for SUSS MicroTec Inc. (Waterbury Center, VT; www.suss.com). "Automation was at one time the primary mechanism for consistency in the process and especially in contamination control.

"Today contamination control is more of a science," he observes. "There are ways to check, track and test different approaches. Basic rules and best practices support 'clean handling.' This means automation can be implemented to either benefit contamination control or, at the very least, not add contamination to an already clean process or fab."

Regarding contamination issues related to wafer breakage, Hermanowski says, "there are fabs today that tolerate some levels of breakage in exchange for higher levels of automation, overall contamination reduction and better process control. But when a wafer breaks, it sends out a cloud of particles. If the particles contain arsenic, it can become a health issue. If the wafer contains silicon or silicon carbide, particles can contaminate the mechanisms of the equipment in the vicinity of the breakage and prematurely wear out bearings and delicate system mechanics.

"A solution is designing automation equipment, from the beginning, to handle thin, fragile, warped wafers of multiple sizes," Hermanowski says. "State-of-the-art design considerations in the tools help keep breakage rates extremely low and particles away from product. An edge handling design is the answer when fabs need to keep particles away from either side of the wafer." He comments that this is not a trivial process when spinning wafers, coating them with photoresist, exposing and developing them.

"The semiconductor industry is certainly the most innovative large-scale industry with respect to levels of process technology used and product innovation," says Heinz Ritzmann, sales and marketing manager for Tec-Sem AG (Taegerwilen, Switzerland; www.tec-sem.com). "On the other hand, the degree of automation in other industries is much higher than the semiconductor industry where processes are distributed across different equipment and locations on the fab floor."

According to Ritzmann, pressures for short innovation cycles and the increased complexity of processes used forced the industry to concentrate more on new process and manufacturing technologies than on automation. "Product life cycles enter the picture as well," he says, "which make investments harder to justify."

But this is changing. "The future will be dominated by process technology and product innovation," he says. "Investments in improving material flow within the fab will increase because of the need to reach two targets: The first is to shorten delivery cycles, reduce inventory levels, avoid manual intervention with associated errors and costs, and handle more complex product mixes. The second is to increase cleanliness in the fab. In both instances the answer is more automation and more integration.

"System integration can reduce the amount of equipment to a minimum while eliminating many transfer and loading/unloading cycles," Ritzmann says. "This has a very positive impact on contamination control because each mechanical handling operation and each machine on the floor is a source of particles. In terms of contamination control it would be best to avoid such a movement at all."

Lot size enters the equation. "Prior to the 1980s wafers were batch processed, meaning that suppliers could not guarantee that products would be exactly the same batch to batch," says Jim Jenson, vice president of systems product marketing, Brooks Automation (Chelmsford, MA; www.brooks.com). "The challenge, as chips became more complex, was achieving more process control through single-wafer processing on a sequential basis. Cluster tool architectures, which enable sequential single-wafer processing without breaking vacuum, helped achieve this. Benefits include higher cleanliness, improved throughput and smaller footprints."

"There's no doubt that the smaller the lot size gets, the less effective a standard system becomes," says Tec-Sem's Ritzmann. "In extreme cases, such as where a lot size equals one, there is just one wafer in a 25-wafer cassette moving through the fab. No efficiency here. But in terms of contamination control the primary goal must be to move only the absolute minimum of material necessary. This means in a one-wafer lot, the ideal solution is to move it alone throughout the fab protected in its own individual minienvironment."


Figure 1. This stocker, sorter and cassette buffer for 300-mm wafers exemplifies advances in single-wafer management. Wafers are insulated from the environment and continuously purged with filtered air or nitrogen. Source: Tec-Sem.
Click here to enlarge image

Ritzmann says an answer is a single-wafer management system integrating in one machine the bare wafer stocker, sorter and wafer cassette buffer. "In terms of contamination control, wafers are insulated from the environment and continuously purged with filtered air or nitrogen," he says. "Therefore the system fulfills the highest cleanroom requirements while protecting the wafers from potential contamination," (see Figure 1).

"The handling of single wafers is the future as this becomes the primary, not secondary, method of movement between processes," says MicroChip's Colvin. "And the contamination control of that single wafer has to be such that it is never subjected to a contamination event between processes."

Zeroing in on events

"In terms of contamination control, there's no doubt that the drive is on to localize points of measurement so personnel can move quickly to identify and respond to an event within a minienvironment," says M+W Zander's Csatary. "A solution is in-situ particle measurement."

MicroChip's Colvin says that during the development of early 200mm AMHS, in-situ monitoring included computer-integrated airborne particle monitoring systems, vehicle-carried portable accelerometers, and portable particle monitoring tools, along with the use of surface scan tools. "All of these proved invaluable to the advancement of AMHS technology," he says. "This same level must be applied to handling single wafers so that contamination events can be analyzed and addressed in real time."

Csatary observes that in many instances there is a reluctance to make the investment in in-situ systems because of the cost of implementation. "Fab operators ask, 'if minis are tested and certified, is there a need for an in-situ measurement investment?'" he explains.

Click here to enlarge image

"Working against this reasoning is the reality that despite the maturity of mini design principles, there is room for improvement," he says. "Part of the problem is lack of standardization among the various tool vendors despite SEMI standards for minienvironment design (see Table 1). This means that in-place measurement and detection system requirements vary from vendor to vendor and model to model." With 60 to 80 different tool types or models used in any wafer fab, it can present a challenge.

Click here to enlarge image

Also favoring in-situ systems is the reality that while manufacturers certify a tool prior to shipment, how it is deployed in the fab can introduce other problems. "A solution is re-certifying the tool after installation in the operating environment," Csatary suggests. "Buyers should clearly specify the performance requirements and specify acceptance testing procedures before and after the tool is installed (see Table 2). This helps make contamination control part of the planning process, not an 'add-on' that can be costly to rectify later." (See Figure 2.)

Click here to enlarge image

Figure 2. In reaching cleanliness levels required for today's semiconductor environment, it is necessary to consider all contamination sources in the fab. Contamination models provide a means to calculate expected contamination levels at points of use in certain cleanroom concepts. The illustration shows AMC modeling of volatile organic carbon (VOC) levels with assumptions for outside air concentration, removal of VOC at the makeup air unit (MAU) and fan filter unit (FFU) stages along with typical outgassing rates for cleanroom, minienvironment, stockers and boxes. Source: M+W Zander

Vendors are not happy with this because of added costs to optimize mini designs. It calls for a new field of expertise that must be developed internally.

If a comprehensive in-situ system is not economically justifiable, compromises can be considered. "Central monitoring allows multiplexing several tools with one particle counter and establishing monitoring cycles," explains Wolfgang Eissler, industrial engineering project manager for the Manufacturing Technology Group at M+W Zander Facility Engineering. "This is a way to more economically identify and localize an event such as an unscheduled pressure drop or increased particle counts."

Expanding on this, Eissler says, "pressurization has the biggest impact on contamination control. This will be measured through internal pressure devices that indicate actual pressure on a display or use the signal to adjust fan speed." He assumes that at this time only 20 percent of all process tools in semiconductor fabs are using fan speed adjustment. "We will also see central external pressure devices measuring several minienvironments and storing data in a computer system."

As to airborne particles, Eissler says there are several options. "One is to continuously monitor using measuring points that sample multiple air streams in a single minienvironment. A second is periodic particle measurement using multiple or single point sampling. A third alternative," he says, "is continuous sequential particle measurement. This is described as measuring several minienvironments using an aerosol manifold polling technique to measure air streams transported by tubing leading from the minis to an aerosol manifold equipped with one particle counter."

Wet chemical processing

For wet clean and etch operations, the goal is to measure fluid properties such as flow, pressure, temperature and chemical composition as close as possible to the point of use, and to more accurately control these measurements. "The liquid flow controller is typically the last device that touches fluids, chemicals or slurry before they are applied to the wafer," says Chuck Gould, marketing director, sensing and control at Entegris Inc. (Chaska, MN; www.entegris.com). "Among its tasks are to ensure accuracy in chemical blending and spiking, bath filling, flow rates and identifying potential preventative maintenance events.

"There has been a steady progress in accuracy and in contamination control in wet chemical processing." Gould says. "Where in the past, blending was comparatively crude—such as 5:1 or 10:1 or even 100:1 in water-chemical ratios—today we're diluting to 1,000:1 and 2,000:1 ratios required in manufacturing small geometry products. Since any error can damage a product, ongoing monitoring and automated response is where technology is directed. Real-time diagnostics are the key to avoiding product damage and downtime. They also guide maintenance scheduling."

Digitally generated diagnostic signals are sent from factory automation devices such as flow controllers via a network to a central monitoring station. "This supports advanced process control that can automatically adjust parameters to conform to production schedules," Gould says. "It helps provide tremendous control over batch and single-wafer processing scenarios while monitoring fluids for conformance to process recipes."

Non-particle contamination control

"There's no question that substantial progress has been made over the past five years in identifying and controlling particle-related defects," says Ken Goldstein, principal, Cleanroom Consultants (Phoenix, AZ; www.cleanroom-consulting.com). "People-induced contamination has been reduced to a second order problem. And the picture is shifting.

"At one time, contamination was viewed as particles above a certain size in air, gas, deionized water and solvents," he says. "While these continue as definitions, filter manufacturers have done a great job in providing a means of removing such contaminants from the process lines. There are also in-line process systems looking for anomalies on the surface of wafers, the origin of contamination, a hiccup in the process, a power fluctuation or other events that impact quality and throughput.

"This takes us to non-particle contamination, which brings on board a modern definition that includes electrostatic discharge (ESD), airborne molecular contamination (AMC) and other out-of-spec operations that affect wafers," Goldstein says.

Managing ESD and associated radio frequency interference (RFI) is essential to contamination control within cleanrooms. "This is nothing new," says Arnold Steinman, chief technology officer for ION Systems Inc., (Berkeley, CA; www.ion.com). "Ionization as an ESD management tool dates from 20 to 25 years ago to control static charge, mostly for particles that came into the 'clean' cleanroom via people, processes and equipment, then settled on charged surfaces.

"ESD can generate heat and damage equipment or product as well as generate RFI," explains Steinman. "Initially there was little understanding of these events and their origin. Robots would go berserk and start flinging wafers about. The hardware group blamed the software group, and vice-versa. Eventually they learned that neither was to blame."

Today it is understood that RFI caused by ESD is a random event producing radio waves or conducted signals that can impact operations up to 100 feet of the event itself. "Smaller fabs and smaller product make ESD more and more a contamination control concern," says Steinman (see "Cleanrooms shocked by ESD impact," CleanRooms, August 2004).

"In many cases, problems are caused by ungrounded parts of the equipment that become charged, then randomly discharge," Steinman says. "Careful grounding of all conductive equipment and parts, bolstered by wise deployment of ionization devices, usually solve these problems."

But enhancements to equipment in the fabs and labs make problems more serious due to frequency changes. "When process computers ran slower, a <100MHz noise was perceived by the equipment as a data bit," Steinman says. "At 100 MHz to 2 GHz, noise begins to look like program signals.

Click here to enlarge image

"Manufacturers must think about ESD," Steinman advises. "There are standards (see Table 3), but testing must be done at higher levels. The question is, does testing mimic the real world? Another point to consider is that as manufacturers build ESD immunity into the equipment, does the manufacturer have the right to determine where and how it is installed? Should this be part of the sales and guarantee agreement—that is, 'This product is not warranted in areas characterized by excessive ESD events.'"

AMC control

"AMC monitoring and control is a thorny issue," says Rod McLeod, who manages the Department of Mechanical Engineering group at Industrial Design & Construction Inc. (Portland, OR; www.idc-ch2m.com). "That's because we don't have a complete handle on what it is or how we can limit it without limiting production. But progress is being made."

Controlling AMC can start as early as requiring chemical filtration prior to bringing air into the fab. "Fabs operating in regions characterized by a high level of air pollution must address this," McLeod says. "High levels of ambient contamination call for chemical filtration of the makeup air as step one. Contractors designing and building fabs and foundries must take this into account. Then, depending on processes in the fab or minienvironment, additional chemical filtration is required. Smart design and construction will provide locations for these filters, which can be installed as requirements dictate. Standards and classifications govern parameters for AMCs in the form of acids, bases, condensables and dopants" (see Table 4).

Click here to enlarge image

Solutions are not inexpensive. "Most AMC control specifications call for levels to be at or below 1 part per billion (ppb) for the target contaminants," says Chris Muller, technical services manager at Purafil Inc. (Doraville, GA; www.purafil.com). "Verification of achieving and maintaining these levels can be a very expensive proposition if real-time monitoring is an option being considered upwards of $20,000 per gas per monitoring location. Add in supplies and personnel costs and it's no surprise that manufacturers are looking for lower-cost AMC monitoring options that can still provide information relevant to the protection of processes and materials."

There are a number of semi-quantitative analysis techniques being used for AMC monitoring, Muller says. "These provide quantitative information on environmental air quality, but do not measure specific contaminants. Examples include passive or real-time monitoring by using devices such as litmus paper, witness wafers, impingers, multi-sorbent tubes, surface contamination monitors, and reactivity monitors." He explains that these are being used to establish AMC baselines for facilities. "Consider them an early warning system to identify and track events and for benchmarking various control strategies including events that could prove a health hazard."

As to preventative and corrective measures, these too can be expensive. "Ammonia is destructive in a lithography fab," Muller says. "That's a good example of where AMC action is. But as a part of the control process it first has to be monitored. For this particular contaminant, operators could shell out more than $80,000 for upstream and downstream monitoring at the low to sub-ppb levels."

Once contaminants are monitored, control is the next step. "We're working toward 'smart' filters," explains Muller. "Fab and foundry operators will provide acceptable limits for certain contaminant classes, and the smart filters will signal when these limits are exceeded. Further ahead," he says, "are filters that handle multiple classes of contamination. These offer promise in lowering the overall cost of ownership for AMC control."

At the present, however, multiple contaminant control generally requires multiple stages of filtration, Muller says. "You can specify for NMP or chlorine, for example, but not necessarily both can be controlled by the same filter. Of course," he adds, "once you take care of these, something else invariably shows up. Moreover, as other chemicals are introduced into a process, new filtration challenges emerge, and these too can include life safety issues."

IDC's McLeod comments, "Not only are the filters expensive, the additional air resistance is an expense because fans have to work harder and consume more electricity in the process. Smart placement of the correct filters can help mitigate that."

Modeling using computational fluid dynamics (CFD) can provide an answer. "Airflow modeling allows a project team to graphically see in three dimensions how a facility or product design will perform under a variety of simulated conditions," he says. "Because of the continuous opportunity for contamination, airflow modeling can be a useful tool in diagnosing a problem. When cleanroom operators know how air behaves in a facility, they can quickly trace back to the source of the problem. This allows them to either remedy an event or, if it is process induced, position filters in the most cost-effective manner," (see Figure 3).

Click here to enlarge image

Figure 3. In the contaminant release simulation shown, a virtual tracer gas is released in front of the process tool (A). The contamination is entrained by cleanroom supply flow and sent down through the raised floor directly to the nearby chase (B) and on to the recirculation air handler (RAH) inlet (C) where it may be removed with integral chemical filters. The CFD solution shows that initial release of the contamination is immediately and locally captured and not dispersed laterally across the cleanroom prior to chemical filtration. Source: Keith Kibbee CFD Analyst - IDC

Equipment trends

As the industry crams more processing power onto smaller chips, it also seeks to manufacture more product in less space.

"A goal is to reduce footprints," says SUSS MicroTec's Hermanowski. "The trend is toward cluster tools combining multiple semiconductor test operations into a single programmable robotic probe system that functions without worker intervention. These systems require a footprint as much as 40 percent less than for single function production probes."

"Firms that perform manufacturing or research processes within cleanrooms often want to increase capacity, add new product lines or include additional processes within their existing cleanroom space," says Paul Smith, president of SSI Robotics (Tustin, CA; www.ssirobotics.com)."This applies to life sciences such as biotech, drug discovery and clinical/hospital laboratories, medical device manufacturing, and semiconductor wafer processing.

"In addition, to avoid building additional cleanrooms, these firms want to maintain control over their most critical operations," Smith says, "For many of them, outsourcing operations overseas is not a desirable alternative. Instead they request that their most complex and critical tasks be performed within tightening footprint constraints at their own facilities.

"The answer is to build up, not out when designing cleanroom process automation equipment," Smith says. "These systems tend to be taller than the people using them. Rather than 'cube-shaped,' the height of these new automation cells can be three or four times the depth. This enables new process equipment to actually free up floor space."

Managing contamination within these confined environments is part of the design process.

Part of the approach involves using cleanroom-rated robots that employ various clean techniques such as special seals, internal vacuum or exoskeleton-enclosed designs to minimize external particle generation.

"Another approach is to focus on airflow management and the proper positioning of filters, robotic mechanisms and air escapements," Smith says. "This technique applies to processes that require cleanliness ratings only in certain areas within the clean enclosure, cleanroom or laboratory."

He cites as a biotech example a high throughput-screening (HTS) minilab. "Here, the goal is to keep particles from entering the sample or instruments. To do this, positive pressure HEPA filters can be used near the clean region within an enclosure, while the robotics are positioned downstream. In this way, filtered air flows over the areas of interest, then travels over the robotic hardware, forcing particles away from the samples and instruments," (see Figure 4).

Click here to enlarge image

Figure 4. The HTS-minilab uses a HEPA filtration unit seen at the upper left of the photo adjacent to where cell cultures and reagents are stored. This area remains clean. The air is then forced over the instruments and the microtiter plates as they are carried (via robot) from instrument to instrument. Therefore, the microplates remain clean. Air then travels across the gantry robot hardware, carrying particles outside of the chamber and into the uncontrolled lab environment. Source: SSI Robotics


Another technique is to position the robots so that the axes of motion are positioned beside, rather than over, the clean areas. "The HTS minilab uses this technique," Smith explains. "By placing the gantry in front of, rather than over, the instruments, any particles falling from the robot drop downward due to gravity if they are too dense to be forced outward due to air flow. Thus, particles fall to the bottom of the enclosure, away from the critical areas. This same technique applies to wafer processing equipment."

Conclusion

Cleanroom automation is a broad subject, and contamination control is an integral part of it. Moreover, the issue looms increasingly larger in overall construction and operating costs. Meeting the challenge requires thoughtful design, creative approaches to managing and handling particles and molecular contaminants and, as always, thorough cost-benefit analysis.

It will keep many people busy for many years to come. As Ken Goldstein observes, "Every time we get a handle on a contamination problem, a new one comes along."