Device Architecture

DEVICE ARCHITECTURE ARTICLES



China wafer production capacity growth fastest in the world

01/07/2019  Unwavering in its drive to build a strong, self-sufficient semiconductor supply chain, China plans more new fab projects than any other region in the world from 2017 to 2020, and its expansion of fab capacity recently picked up pace on the strength of new foundry and memory projects from both domestic and foreign companies.

Mobile Semiconductor introduces a 22nm FDX (FDSOI) ULP memory compiler

12/21/2018  Today, Mobile Semiconductor announced their new 22nm FDX ULP (Ultra Low Power) Memory Compiler complete with a comprehensive set of features that cement their leadership position in FDX Memory Compiler offerings.

Two industry veterans recognized at SEMICON Japan for longtime contributions to SEMI Standards

12/20/2018  At SEMICON Japan 2019, SEMI recognized two industry veterans active in the Japan chapter for their longtime contributions to the SEMI International Standards program.

Study on low noise, high-performance transistors may bring innovations in electronics

12/19/2018  A research study on low noise and high-performance transistors led by Suprem Das, assistant professor of industrial and manufacturing systems engineering, in collaboration with researchers at Purdue University, was recently published by Physical Review Applied.

Industry leaders collaborate with Synopsys on modeling standards to address design down to 2nm

12/19/2018  Synopsys, Inc. today announced that the Liberty Technical Advisory Board (LTAB) and Interconnect Modeling Technical Advisory Board (IMTAB) have ratified new modeling constructs to address timing and parasitic extraction challenges at process nodes down to two nanometers.

North American semiconductor equipment industry posts November 2018 billings

12/19/2018  The billings figure is 4.2 percent lower than the final October 2018 level of $2.03 billion, and is 5.3 percent lower than the November 2017 billings level of $2.05 billion.

Assessing the promise of gallium oxide as an ultrawide bandgap semiconductor

12/18/2018  Researchers at the University of Florida, the U.S. Naval Research Laboratory and Korea University provide a detailed perspective on the properties, capabilities, current limitations and future developments for one of the most promising UWB compounds, gallium oxide.

JEDEC updates groundbreaking high bandwidth memory standard

12/17/2018  JEDEC Solid State Technology Association announced the publication of an update to JESD235 High Bandwidth Memory (HBM) DRAM standard.

New property revealed in graphene could lead to better performing solar panels

12/17/2018  International research team, co-led by UC Riverside physicist, shows how pure graphene efficiently converts light into electricity.

Pressure tuned magnetism paves the way for novel electronic devices

12/17/2018  Using ultrasensitive magnetic probes, researchers unveil a surprising link between emergent magnetism and mechanical pressure in artificially engineered non-magnetic oxide heterostructures.

Total fab equipment spending reverses course, growth outlook revised downward

12/17/2018  Total fab equipment spending in 2019 is projected to drop 8 percent, a sharp reversal from the previously forecast increase of 7 percent as fab investment growth has been revised downward for 2018 to 10 percent from the 14 percent predicted in August.

SiFive recognized as Most Respected Private Semiconductor Company

12/14/2018  RISC-V leader honored for its products, growth and performance by Global Semiconductor Alliance.

DRAM growth tops industry ranking in 2018; Outlook dims for 2019

12/14/2018  DRAM fastest growing market in four of past six years, demonstrating very cyclical market.

SST and SK hynix system ic partner to expand availability of embedded SuperFlash technology

12/13/2018  Leading 200 mm foundry selects SST's SuperFlash technology for 110 nm CMOS process platform.

Micron delivers industry's highest-capacity monolithic mobile memory for MediaTek's newest Helio smartphone platform

12/13/2018  Micron Technology, Inc., a developer of memory and storage solutions, today announced that its monolithic 12Gb low-power double data rate 4X (LPDDR4X) DRAM has been validated for use in MediaTek's new Helio P90 smartphone platform reference design.

New Intel architectures and technologies target expanded market opportunities

12/12/2018  At Intel “Architecture Day,” top executives, architects and fellows revealed next-generation technologies and discussed progress on a strategy to power an expanding universe of data-intensive workloads for PCs and other smart consumer devices, high-speed networks, ubiquitous artificial intelligence (AI), specialized cloud data centers and autonomous vehicles.

General industry slowing coupled with geopolitical strife

12/12/2018  Now that most companies in our sector analyses have reported their calendar third quarter 2018 financial results, we have final or 3Q'18/2Q'17 growth estimates for the world electronic supply chain.

Semiconductor equipment sales forecast: $62B in 2018 a new record

12/12/2018  The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion, an all-time high.

Lattice Semiconductor appoints Glenn O'Rourke as Corporate VP, Global Operations

12/11/2018  Lattice Semiconductor Corporation announced the appointment of Glenn O’Rourke as the Company’s Corporate Vice President, Global Operations, effective immediately.

SEMICON Japan 2018 opens tomorrow focusing on SMART applications

12/11/2018  SEMICON Japan 2018, the largest and most influential event for the electronics manufacturing supply chain in Japan with more than 70,000 attendees expected, opens tomorrow at Tokyo Big Sight.




WEBCASTS



Environment, Safety & Health

Date and time TBD

The semiconductor industry is an acknowledged global leader in promoting environmental sustainability in the design, manufacture, and use of its products, as well as the health and safety of its operations and impacts on workers in semiconductor facilities (fabs). We will examine trends and concerns related to emissions, chemical use, energy consumption and worker safety and health.

Sponsored By:

Wafer Processing

Date and time TBD

As the industry moves to 10nm and 7nm nodes, advances in wafer processing – etch, deposition, planarization, implant, cleaning, annealing, epitaxy among others – will be required. Manufacturers are looking for new solutions for sustained strain engineering, FinFETs, FDSOI and multi-gate technologies, 3D NAND, and high mobility transistors.

Sponsored By:

More Webcasts