Issue



Light sources for ArF immersion double patterning


03/08/2012







RAJASEKHAR RAO, SLAVA ROKITSKI, RUI JIANG, JOHN MELCHIOR, DANIEL J. RIGGS, and THEODORE CACOURIS, Cymer Inc., San Diego, CA.


In field studies, the XLR 600ix laser has demonstrated an uptime of 99.8%.


Immersion double patterning (DP) lithography has established, widespread use at the 32nm technology node. The lithography community has now converged on extending its use to the 22nm node. In its essence, DP involves exposing critical layers twice in order to achieve the necessary feature resolution. This has a direct and adverse impact on lithography tool productivity. In order to support productivity improvements and the resulting tighter requirements for CD uniformity and overlay for immersion DP lithography, stringent demands are placed on the light source performance.


We introduced the XLRTM 600ix last year at various chipmaker locations and reported on the capability of this light source to operate in the challenging environment presented by immersion DP lithography [1].


We have continued to further improve on the reliability, tool availability and performance flexibility of our DUV light sources by developing and implementing newer technology advancements. Specifically, improvements in the discharge chamber gas control and the capability to operate over a wide range of spectral bandwidths were developed. Improved gas control extends gas life, thereby improving light source availability. The capability to operate over a wide range of spectral bandwidths a.k.a focus drilling supports up to 2X improvement in the depth of focus at the wafer for critical contact and via layers. In this paper, the key elements of these technology advancements are described.


XLR performance at chipmaker sites


The XLR 600ix light source has been integrated into advanced DP immersion scanners in the market where it has been exploited for its operational flexibility (60W and 90W) while meeting all the necessary performance requirements for energy stability, bandwidth stability and wavelength stability. The XLR 600ix light source has demonstrated the ability to meet the necessary performance requirements without sacrificing product reliability. The introduction and initial characterization results were reported previously [1]. Here we report that the XLR 600ix laser has demonstrated an uptime of 99.8% while being operated in such a challenging environment (Fig. 1).





figure 1. Light source availability reported using supplier dependent uptime for the XLR 600ix during 2011. The XLR 600ix achieved 99.8% uptime at the close of 2011 and is compared to the prior-generation XLA 300/400 family of light source which achieved 99.6% uptime at the close of 2011.



The flexibility to operate over a range of output power from 60W to 90W subjects the light source to stringent performance requirements. For example, operation at 90W requires fewer pulses to achieve the same dose exposure when compared to operating at 60W. The challenging operational condition then for the light source is at 90W output power and at the reduced exposure window sizes. In order to achieve improved dose stability, further refinements were developed in the pulse-to-pulse energy control algorithms that include feed-forward and feedback control. The resultant field performance of the light source at a memory chipmaker fab is shown in Fig. 2. The light source was operated at 90W for over a year and has demonstrated stable and predictable performance under such challenging conditions.





Fgure 2. Dose error measured on a light source in 90W operation at a memory fab. Performance is stable and functioning within 0.05% dose error throughout its operation.



Advancements in gas management


Discharge chamber gas requires a periodic refresh which affects uptime. We developed further improvements in gas management technology, named iGLXTM, to extend gas life, automate gas optimization and reduce the overall chamber gas consumption, all of which directly translates to further improvements to light source uptime [2]. With iGLX, discharge chamber gas life is extended to 4Bp from the previous 2Bp capability, which translates to almost 7 weeks time between gas refills assuming a nominal 30 Bp/year pulse usage rate. The resultant performance is illustrated in Fig. 3, showing stable bandwidth between gas refills.





Figure 3. Bandwidth stability during an extended period between gas refills, illustrating iGLX can maintain stable performance with greater than 4Bp between refills. The blue data represents E95 bandwidth, while the green data represents full width half-maximum (FWHM) bandwidth. The vertical green bar shows the refill events.



Another key benefit from iGLX gas management technology is the reduced fluorine-mix gas usage. By using advanced model-based techniques, the accuracy and repeatability of chamber gas concentration has been greatly improved. This has resulted in a reduction in fluorine gas-mix usage by ~ 20% over previous gas management technologies. Early results from several systems in the field have confirmed benefits in higher availability and lower gas consumption.


Technology advancements with focus drilling


Light sources used in advanced photolithography usually require a light source with narrow spectral bandwidth to support achieving the necessary CD uniformity. However, this also limits the process window available to chipmakers in high N.A. systems where the depth of focus (DoF) is small. The challenge for contact layers in particular is the decreasing process window area with reducing feature sizes. We have developed focus drilling technology to support a larger process window in the patterning of contact and via layers [3]. The goal is to increase DoF without a significantly adverse impact on critical process parameters like CD uniformity, mask error enhancement factor (MEEF) or exposure latitude (EL). This is enabled by providing a light source with the capability to broaden the spectral bandwidth to suit a specific chipmaker process. The focus drilling feature provides such a broad spectral bandwidth tuning capability along with the necessary supporting metrology and control capability and thereby providing increased process latitude to chipmakers [3].


We have investigated many novel methods of spectral tuning [4]. Once such embodiment has been integrated into the light source to support three key elements that are needed to support the focus drilling feature. The key elements are bandwidth actuation (tuning), spectral metrology and a control scheme. Novel improvements have been integrated into the line narrowing module (LNM) to provide a wide spectral bandwidth tuning range. This is essential to providing the increased DoF and its associated process latitude. Separately, advanced metrology methods have been incorporated into the bandwidth analysis module (BAM) to support accurate spectral measurements over the wide tuning range. Accurate bandwidth metrology supports chipmaker process repeatability.





Figure 4. The graph illustrates the accuracy of the on-board metrology and plots the difference between the values measured by the BAM and an external high-resolution grating spectrometer. The red lines represent the accuracy that is required by chipmakers to support the necessary process repeatability.



The spectral bandwidth tuning range that is available with the new LNM is shown in Fig. 4. Using spectral E95 as the metric, the tuning range that is available with Focus Drilling is increased to up to 1.8pm. This is supported with advanced bandwidth metrology that can accurately measure various spectral parameters like FWHM, E95 or any other derived spectral metric.





Figure 5. Closed-loop performance of the control system stabilizing the light source spectral bandwidth to the various target values set by the user.



Once such recently introduced parameter called CBW (Convolved Spectral Width) is also measured by the new BAM. CBW is a spectral metric derived from the convolution of the aerial image function with the light source spectral shape (see reference 5 for a detailed description of CBW). The essential point here is that any such derived spectral metric can be measured and reported by the metrology module. This advanced bandwidth metrology system uses a sophisticated de-convolution method to quickly and accurately extract the light source spectrum. In addition, control algorithms have been developed to integrate all the feedback to stabilize the bandwidth to the desired target value of any one of the derived bandwidth metrics. Shown in Fig. 5 below is one such case where the control system is stabilizing the light source to a target CBW value. The control algorithm has a feedback component and a feed-forward component to ensure that the system very quickly and accurately stabilizes to the target value required by the user.


References



  1. Rostislav Rokistki, Toshi Ishihara, Rajasekhar Rao, Rui Jiang, Mary Haviland, Theodore Cacouris, Daniel Brown "High Reliability ArF Light Source for Double Patterning Immersion Lithography", Optical Microlithography XXIII, Proc of SPIE 2010 Vol 7640.

  2. Daniel J. Riggs, Kevin O'Brien, Daniel Brown, "DUV Light Source Availability Improvement via Further Enhancement of Gas Management Technologies", Optical Microlithography XXIV, Proc of SPIE 2011, to be published.

  3. Ivan Lalovic, Jason Lee, Nakgeoun Seong, Nigel Farrar, Michiel Kupers, Hans van der Laan, Tom van der Hoeff, Carsten Kohler, "Focus Drilling for Increased Process Latitude in High-NA Immersion Lithography", Optical Microlithography XXIV, Proc. of the SPIE 2011, to be published.

  4. Ivan Lalovic, Nigel Farrar, et al, "RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure", Optical Microlithography VXIII, edited by Bruce W. Smith, Proc. of SPIE Vol 5754, 2005


5. Kevin O'Brien, Rui Jiang, Nora Han, Efrain Figueroa, Rajasekhar Rao, Robert J. Rafac, "High-Range Laser Light Bandwidth Measurement and Tuning" Optical Microlithography XXIV, Proc of SPIE 2011, to be published.


The authors are with Cymer, Inc.,17075 Thornmint Court, San Diego, CA, 92127. www.cymer.com.


Solid State Technology, Volume 55, Issue 2, March 2012


More Solid State Technology Current Issue Articles

More Solid State Technology Archives Issue Articles