Issue



New Products


03/01/2012







Tachyon flexible mask optimization


The Tachyon Flexible Mask Optimization (Tachyon FMO) system, from Brion Technologies, a division of ASML, enables use of multiple optical proximity correction (OPC) techniques in a single mask tapeout for 2Xnm lithography processes. With Tachyon FMO, chip makers can use advanced and computationally intensive OPC in localized areas for maximum benefit. This can help reduce tapeout cycle time by two-thirds from competitive technologies. Tachyon FMO uses what they call "boundary healing" to allow different parts of a design to use different correction techniques without inducing hotspots in the boundary regions. Brion's technology detects and manipulates hotspots, reinserting corrected hotspots into the full chip design without introducing new defects due to proximity effects of neighboring patterns. Tachyon FMO enables a number of flexible application modes such as repair, insertion of known-good libraries, efficient correction of mask revisions, and applying advanced OPC techniques in highly localized areas. Brion Technologies, Veldhoven, the Netherlands, www.brion.com.


Centurion semi-automatic gas cabinets


Semi-automatic Centurion??? gas cabinets can safely store, handle and deliver hazardous, ultra-high purity process gases. The semi-automatic gas cabinets, which feature manual purging capabilities, save gas equipment costs in applications requiring low flow rates or when cylinder change-out is infrequent, such as in research & development programs or in university and government laboratory environments. The units, designed to conform to Semi S2 and the International Fire Code, feature GigaGuard emergency shutdown system (ESS) controllers that monitor up to 11 optically isolated inputs, all of which are customizable by application. System conditions, including flow, pressure and exhaust, are continuously monitored for alarms. The system will automatically shut down by interrupting the gas flow when undesirable system conditions are met to ensure safety. Remote control boxes for system status and remote shutdown are also available. The Centurion gas cabinet line is available in one cylinder, two cylinder and three cylinder standard configurations, as well as custom multi-cylinder and wall mount system solutions for more complex and specific gas delivery applications. Semi-Gas, Malvern, PA, www.semi-gas.com.


KLA-Tencor metrology suite


KLA-Tencor Corp. launched three semiconductor wafer defect inspection systems: the 2900, Puma 9650, and eS800 series. The product suite is tailored to detect defects arising from new materials, device structures, and design rules in chip manufacturing. The 2900 Series broadband optical wafer defect inspection platform captures defects on challenging layers and die areas, detecting yield-relevant defects as small as 10nm. The Puma 9650 Series narrowband optical wafer defect inspection system combines reported sensitivity and throughput in multi-layer metrology, including difficult gate etch layers. The eS800 Series e-beam wafer defect inspection platform captures extremely small defects, shallow residues, and defects inside deep narrow structures using high electron beam current density. All of the new systems share a graphical user interface, and all work with KLA-Tencor's eDR-7000 e-beam wafer defect review system. KLA-Tencor, Milpitas, CA,www.kla-tencor.com.


Mask alignment for LED manufacturing



The EVG620HBL Gen II is a second generation fully automated mask alignment system for volume manufacturing of high-brightness LEDs. The tool features an enhanced microscope supporting automated mask pattern search, which further reduces mask setup and change time; updated robotic handling layout with wafer mapping capability, which supports the demand for wafer traceability; improved alignment capability (line alignment), which leverages the grids that mark single LEDs for orientation instead of requiring alignment marks that take up valuable space on the wafer; and a reduced system footprint, which optimizes total cost of ownership for operation and increases the wafer per footprint index. EV Group, St. Florian, Austria. www.evgroup.com.


 


Bond Meister wafer bonding tool


Mitsubishi Heavy Industries, Ltd. (MHI) launched a fully automated 12" (300mm) wafer bonding tool, Bond Meister MWB-12-ST, capable of producing 3D large-scale integration (LSI) circuits at room temperature. The 300mm bonder targets production of memory chips and microprocessor units (MPU). The Bond Meister MWB-12-ST uses a fast atom beam (FAB) gun instead of a traditional ion beam gun. The FAB gun irradiates atoms to activate a material surface for bonding. The system bonds up to five 300mm wafers continuously, performing wafer transfer and alignment for automatic bonding. It can preliminarily set the bonding conditions for each wafer individually, for small lot, mixed type production. Room-temperature wafer bonding eliminates heat stress and strain, reduces wafer processing time, and could enable more miniaturization in LSI designs. The tool can bond silicon and various metals. Mitsibishi Heavy Industries, Tokyo, Japan, <www.mhi.co.j


Heavy wire bonder


The BONDJET BJ93X heavy wire bonder is designed for back-end semiconductor assembly, targeting manufacturers of power semiconductors and automotive electronics. The bonders feature a heavy wire bond head with integrated pull test and 305 x 410mm table travel for automotive electronics and power semiconductor interconnect requirements. The BONDJET BJ920 handles aluminum, gold and copper heavy round wire and ribbon wire at speeds of three wires/sec. Patented PiQC Process Integrated Quality Control analyzes five critical measurements of bond quality in real time for every bond. Hesse & Knipps GmbH Semiconductor Equipment, Paderborn, Germany, www.hesse-knipps.com.


Ruthenium precursor


Tanaka Kikinzoku Kogyo K.K., a company of Tanaka Precious Metals, developed a ruthenium material able to form a film up to 6x the normal depth for capacitor electrodes used in dynamic random access memory (DRAM) semiconductors. The ruthenium material is a metal organic chemical vapor deposition (MOCVD) precursor for 20nm and below, next-generation DRAM devices. It forms a uniform ruthenium film inside fine pores with a 40:1 aspect ratio. This enables the manufacture of capacitor electrodes with six times the normal depth. Tanaka Precious Metals, Tokyo, Japan, www.tanaka.co.jp.


Xact200 TEM sample preparation system



The Xact200 is a second generation transmission electron microscope (TEM) sample preparation system for the semiconductor industry. Camtek calls the system an alternative to traditional focused ion beam (FIB) analysis. TEM enables analysis of small semiconductor feature dimensions and complex materials. The Xact200 enables sample preparation for 2X and 1X nodes, combining Adaptive Ion Milling (AIM) technology with an integrated FE SEM column and in-process STEM imaging capability. AIM can reduce lamella thickness <20nm over a large area with high precision, artifact-free quality, and higher throughput. Camtek, Migdal Ha'emek, Israel,www.camtek.co.il.


 


200mm Al BEOL fab process


Semiconductor foundry United Microelectronics Corp. (UMC)) launched the A+ technology platform, a specialized 0.11??m aluminum process manufactured in UMC's 8" wafer fabs. The 0.11??m full aluminum back end of line (BEOL) process is touted as a cost-effective alternative to mainstream 0.13??m and 0.11??m copper technologies. The A+ platform comprises technology offerings in logic/MM, RFCMOS, eFlash, eE2PROM, eHV and CIS. A full suite of IP, HV-LDMOS, I/O devices, RF models and passive components complete the comprehensive A+ offering, UMC reports. Aggressive Al-BEOL design rules are used with leading-edge 8" production technology, tailored for cost, performance, and functionality goals. UMC, Hsinchu, Taiwan, www.umc.com.


MEMS Mic Tester


Audio Precision added a new PDM I/O option for its APx500 series audio analyzers. PDM (pulse density modulation) is a one-bit, high clock rate data stream used with digital MEMS microphones used extensively in smartphone design. The APx PDM option allows APx500 series audio analyzers to connect directly to any device with a PDM input or output. In addition to all the standard audio measurements, the APx PDM Interface provides variable DC supply voltage, variable sample rate, and a PSR (power supply rejection) measurement to test the device's full operating parameters. Audio Precision, Beaverton, OR, www.ap.com.


Signal integrity analysis


Synopsys, Inc. and Sigrity, Inc., unveiled an enhanced solution that accelerates signal integrity simulation of high-speed systems. In the latest release of the HSPICE circuit simulator, Synopsys has employed in-memory communication to deliver deeper integration with Sigrity's signal integrity analysis offerings. In addition, the HSPICE 2011.09 release provides enhanced multi-core simulation performance, improved accuracy in statistical eye-diagram analysis, and new multi-core enabled S-parameter and W-element analysis. The combined Synopsys and Sigrity solution offers up to 3X faster simulation of signal and power integrity analysis of multi-gigahertz designs. Synopsys, Mountain View, CA, www.synopsys.com.


Solid State Technology, Volume 55, Issue 2, March 2012


More Solid State Technology Current Issue Articles

More Solid State Technology Archives Issue Articles