Issue



A comprehensive EUV lithography model


01/01/2012







Mark D. Smith, Trey Graves, John Biafore, Stewart Robertson, KLA-Tencor Corp., Austin, TX USA; Cheolkyun Kim, James Moon, Jaeheon Kim, Cheolkyu Bok, Donggyu Yim, Hynix Semiconductor Corp., Icheon-si Kyoungki-do, Korea


A comprehensive lithography model is required to evaluate the lithography as a system, not as a set of independent components.


As EUV lithography nears pilot-line stage, photolithography modeling becomes increasingly important for engineers to build viable, production-worthy processes. In this paper, we present a comprehensive, calibrated lithography model that includes optical effects such as mask shadowing and flare, combined with a stochastic resist model that can predict effects such as line-width roughness. This model is used to investigate EUV photoresist technology and the effect of flare and lens aberrations on the process window. Results indicate that a comprehensive model is required to provide a realistic evaluation of EUV lithography processes.


Historically, the semiconductor industry has maintained Moore's law by using either an increase in numerical aperture (NA) or a reduction in wavelength. The next planned technology change from ArF to EUV combines a dramatic reduction in wavelength with a reduction in NA. This has obvious advantages for resolution and depth of focus, but it also leads to significant optical and physical effects. For example, lens aberrations are inversely proportional to the wavelength ??? a 1.5nm RMS wavefront error corresponds to ~8 milliwaves of aberration at 193nm, but more than 100 milliwaves at 13.5nm. The scaling for flare is much worse ??? flare levels are inversely proportional to the wavelength squared. Furthermore, EUV scanners use a reflective mask, which leads to a shadowing effect that depends on feature orientation and field position. These optical effects were either absent or a minor effect on ArF toolsets. For memory manufacturing, this means that we cannot apply the same OPC corrections to every cell. Instead, these effects must be characterized and modeled, so that different corrections can be applied across the field.


There are also new manufacturing challenges for EUV, including throughput, CD uniformity (CDU), and line-width roughness (LWR). These problems are all closely tied to the power that can be supplied by the EUV source, because lower power means either a lower scan rate (which is detrimental to throughput) or a lower exposure dose (which leads to poor CDU and LWR due to photon shot noise). Photoresist vendors are working directly on this problem, as they try to determine the right compromise between resolution, LWR, and sensitivity.


With these new optical and physical effects, photolithography simulation can be an invaluable tool for the photolithography engineer. Under normal circumstances, much of the learning required to build a viable process can be obtained by a large, extensive set of experiments. For EUV, it is not possible to use experiments alone because there are so many new effects, and experimental data is currently hard to obtain. Simulation can be used to optimize a virtual process. This set of virtual operating conditions is not expected to be a manufacturable process, but rather a starting point that helps determine the most important trade-offs and reduces the number of experiments required to optimize a real process.


This paper provides an example of a comprehensive EUV lithography model that includes all of the effects described in this introduction. After describing the experimental data used to generate the model, we use the model to investigate EUV photoresist technology and the effect of flare and lens aberrations on the process window.


Experimental data set and model calibration


Wafers were exposed on the EUV ADT at imec during 2008 with exposure settings of NA=0.25 and 0.5 partial coherence. The experimental film stack was 65nm of photoresist on bare silicon. A special EUV flare reticle was designed and manufactured by Hynix [1]. This study focused on the flare and mask shadowing test structures. CD data for the flare test structure was collected from vertical patterns with no mask shadowing. For the shadow test structure, CD data was collected for vertical, horizontal and diagonal dense lines at multiple half-pitches for layouts covering varying degrees of mask shadowing. LWR measurements were also made for vertical features in the center of the field for the shadowing test structure.


PROLITH X3.2 was used to model this set of experimental data. To describe the long-range flare found in EUV exposure tools, we used a flare point spread function approach described previously [1]. To describe the topography on the mask, we used an approximate, thick mask topography algorithm similar to the method described by Adam and Neureuther [2]. Finally, we used the PROLITH stochastic resist model (SRM) to describe the photoresist and resist processing steps [3-5].










Figure 1. Comparison of experimental and simulated CD data within flare test structures (left; 30nm, 40nm, 60nm and 80nm lines) and shadow test structures (right). RMS error between simulation and experiment is 2.68nm for flare and 1.64nm for shadow.



Results comparing experimental data and data generated by the model after calibration are shown in Figs. 1 and 2. With a reasonable model for the scanner and photoresist, we can now use the model to predict what improvements might be seen in the lithography with advances in photoresist and scanner technology.










Figure 2. Experimental (top row) and simulated (bottom row) LWR for 28nm HP (left), 32nm HP (center) and 40nm HP (right).



Influence of EUV exposure kinetics and resist formulation


The PROLITH stochastic resist has a special model for EUV exposure [3,4] that describes the accepted mechanism for the exposure process at the 13.5nm wavelength [6]. This exposure process consists of three steps. First, a discrete photon is absorbed following shot noise statistics. Second, a photoelectron can be generated with a defined probability. The electron then propagates through the resist matrix, undergoing elastic and inelastic scattering events. During the inelastic scattering events, a secondary electron may be generated, which creates a cascade of electrons generated by the initial absorption of the photon. Finally, if one of these electrons moves within the reaction radius of a photoacid generator (PAG) molecule, there is a probability that the PAG will be converted to an acid. The result of the exposure model calculation is a distribution of discrete acid molecule locations throughout the resist.


There are two important consequences of the EUV exposure mechanism compared with a mechanism where the PAG directly absorbs the photon. First, the acid is generated some finite distance away from the original photon absorption site, a phenomenon called "photoelectron blur." Second, the acid yield, defined as the number of acids generated for each absorbed photon, can be larger than one. Both of these effects can be quantified by calculation of the acid point spread function (PSF). Calculations of acid PSFs corresponding to different values of the PAG reaction radius showed that increasing the PAG reaction radius increases both the photoelectron blur and the acid yield ??? larger capture radii allows a larger number of PAG molecules to interact with a photoelectron, and it becomes more likely that an acid will be generated [1]. In general, it is thought that increasing yield is beneficial because it should improve photospeed, but increasing blur will degrade image contrast. We are able to quantify these two effects in our model. Figure 3 shows the dependence of dose-to-size and LWR on the overall yield. Increasing yield decreases the dose-to-size, but it also increases LWR.










Figure 3. Effect of acid yield on dose-to-size (left), and LWR (right). Results are shown for relative quencher loadings of 0.2 and 0.3 and were calculated for 30nm HP dense lines within 50% pattern density flare structure. The nominal parameters (yield ~2, quencher loading 0.2) are designated by the circled point in each plot.



One explanation for the increase in LWR with yield is that while the number of acids per absorbed photon is increased, the locations of these acids are highly correlated around the location where the initial photon was absorbed. If the acid molecules are often clumped into a small region, instead of spread throughout the resist, LWR increases.


It is well-known that the quencher loading modifies contrast and photospeed, so we studied the interaction between yield and quencher loading. The results (Fig. 3) show that as the relative quencher loading is increased photospeed is reduced and LWR is improved. Therefore, we might be able to simultaneously increase quencher loading and yield as a strategy to maintain a reasonable LWR and still improve the photospeed. This is shown by the quencher loading of 0.3 with a yield of 5 ??? the dose to size decreases from 38mJ/cm2 to 22mJ/cm2, while the LWR increases slightly from 4.8nm to around 5nm. Other combinations of quencher loading and yield might give additional improvements in sensitivity and LWR.


Influence of aberrations and flare levels


Next, we investigated the impact of optical upgrades and improvements, such as a reduction in flare and lens aberrations, by analyzing process windows. First, we calculated process windows with flare and aberration levels typical of the ADT. Results for a focus-exposure matrix and the corresponding CD process window for the 30nm half-pitch (HP) flare test structure with 0% open area demonstrate a 340nm depth-of-focus (DOF) for a 5% exposure latitude specification. We also examined the LWR process window, using a LWR specification limit of 5nm. The resulting data show that the depth of focus is more constrained by LWR than CD, with the DOF reduced to around 160nm. By examining other regions in the flare test structure, we found that the increased flare level degrades LWR, and the process window completely collapses. Figure 4 shows overlapping CD and LWR process windows.










Figure 4. Process windows for 30nm HP flare test structure for 0% open area (left) and 100% open area (right) with flare and aberration levels from the ADT tool (top row) and typical values for the beta EUV tools (bottom row). CD process windows are shown in dashed yellow, LWR process windows with a 5nm specification are shown in purple dash-dot, and the overlap is shown with a solid blue line.



Next, we predicted expected improvements for the EUV beta tools, with reduced aberrations (51 m?? instead of 91m??) and half the flare. The lower aberrations and flare correspond to the levels reported for the ASML NXE3100 [7]. Here we see that both the exposure latitude (limited by CD excursions) and the depth of focus (limited by LWR) are improved (Fig. 4). For 0% open area test structure, the depth of focus for 5% exposure latitude improves from 157nm to 198nm, and for the 100% open area test structure, the scanner with higher aberrations and flare has no DOF, while the improved scanner has 197nm DOF. It is clear that the improved optics will lead to much better LWR response, and improved process windows.


Conclusion


We have presented a comprehensive EUV lithography model that includes both the most important optical effects (mask shadowing and flare) and the most important resist effects (exposure yield, photoelectron blur, and LWR). This model was used to investigate the size of the photoelectron blur, and the impact of acid yield on photospeed and LWR. As expected, increasing yield gave better photospeed, but it also degraded the LWR response. We then investigated the interaction between exposure yield and quencher loading, and found that there were combinations where photospeed could be improved with only a small increase in LWR.


Improvements to lens aberration levels and flare were evaluated by simulation of CD and LWR process windows. For aberrations and flare typical of the ASML ADT, the LWR process window completely collapsed for 30nm HP features when the surrounding area was 100% open. For the improved aberration and flare levels expected for the beta tools, the process windows were improved to ~200nm DOF for a 5% exposure latitude specification. For all cases simulated in this study, the process windows were constrained by the LWR specification. This means that process windows based on CD specification alone are not an accurate reflection of what is required for a robust, manufacturable process, and that a comprehensive lithography model is required to evaluate the lithography as a system, not as a set of independent components.


Acknowledgment


A detailed version of this manuscript originally appeared in Extreme Ultraviolet (EUV) Lithography II, ed. Bruno M. La Fontaine and Patrick P. Naulleau, Proc. of SPIE Vol. 7969, 796906, 2011.


References



  1. M.D. Smith, T. Graves, J. Biafore, S. Robertson, C. Kim, J. Moon, et al., "Comprehensive EUV Lithography Model," Proc. of SPIE Vol. 7969 (2011) 796906.

  2. K. Adam, A. Neureuther, "Domain decomposition methods for the rapid electromagnetic simulation of photomask scattering," Jour. of Micro/Nanolithography, MEMS, and MOEMS, Vol. 1 (2002) 253.

  3. J.J. Biafore, M.D. Smith, C.A. Mack, J.W. Thackeray, R. Gronheid, S.A. Robertson, et al., "Statistical simulation of photoresists at EUV and ArF", Proc. of SPIE, Vol. 7273 (2009) 727343.

  4. J.J. Biafore, M.D. Smith, E. van Setten, T. Wallow, P. Naulleau, D. Blankenship, et al., "Resist pattern prediction at EUV," Proc. of SPIE, Vol. 7636 (2010) 76360R.

  5. S.A. Robertson, J.J. Biafore, M.D. Smith, M.T. Reilly, J. Wandell, "Stochastic simulation of resist linewidth roughness and critical dimension uniformity for optical lithography," Jour. of Micro/Nanolithography, MEMS, and MOEMS, Vol. 9 (2010) 041212.

  6. T. Kozawa, S. Tagawa, "Radiation chemistry in chemically amplified resists," Japanese Jour. of App. Physics, Vol. 49 (2010) 030001.

  7. M. Lowisch, P. Kuerz, H.J. Mann, O. Natt, B. Thuering, "Optics for EUV production," Proc. of SPIE, Vol. 7636 (2010) 763603.


Mark D. Smith is a manager of advanced development at KLA-Tencor Corp., PROLITH R&D, 8834 N. Capital of Texas Highway, Austin, TX 78759 USA; ph.: 512-231-4212 ; email [email protected]


Solid State Technology, Volume 55, Issue 1, January 2012


More Solid State Technology Current Issue Articles

More Solid State Technology Archives Issue Articles