PRODUCT NEWS
01/01/2008
DUV brightfield wafer inspection tool
|
The Applied UVision 3 DUV brightfield wafer inspection tool has the critical-defect detection sensitivity required for 45nm FEOL and immersion lithography. This next-generation system triples the number of laser beams scanning the wafer to reportedly provide 40% faster throughput than any competitive system. Two new imaging modes extend sensitivity to 20nm, and a new flexible automatic defect classification engine enables quick access to defects of interest and faster yield learning. By coupling unique laser DUV architecture with a sensitive photomultiplier tube and variable polarization, the UVision 3 system also meets the challenges of 32nm memory development. New brightfield imaging modes, both in the illumination and collection path, address the contrast versatility required for immersion lithography. Applied Materials Inc., Santa Clara, CA USA; ph 408/727-5555, www.appliedmaterials.com.
Plasma-based bevel cleaning system
|
The Coronus plasma-based bevel cleaning system is designed to reduce yield loss caused by defects that originate near the wafer’s edge. The Coronus system combines the multiple-material cleaning capability of plasma with a proprietary confinement technology that protects the die area. Available in both 200mm and 300mm configurations, the system is built on Lam’s 2300 platform with dynamic alignment, which provides a repeatable target cleaning area-wafer to wafer and lot to lot. The target cleaning area can be defined precisely on the top and bottom edges of the wafer independently. Initial evaluations of the Coronus system have focused on edge defects in FEOL (front-end of line) processes for STI (shallow trench isolation) and contact formation. Immersion lithography and BEOL are also candidates. Lam Research Corp., Fremont, CA USA; ph 510/572-0200, www.lamresearch.com.
Excimer laser implant activation system
|
The ELIAS series of machines for annealing wafer-based and thin-film microelectronic devices features full automatic operation, a sophisticated production and R&D mode, a clean environment by built-in flow box (Class 100), automatic wafer loading/unloading, 25 wafers/cassette, and handling of up to 70 wph. With an LPXpro 305 (i.e., 40W of UV power at 308nm), the system can provide a throughput of ~50wph at an irradiated diameter of 5 in. for melt regime annealing at 3J/cm2. The system can handle up to 8 in. thinned and standard thickness wafers. If equipped or later upgraded with a more powerful laser source, the system is capable of a throughput of ~300wph, facilitating a single machine for a couple of wafer lines in parallel production. ficonTec GmbH, Bremen, Germany; ph 49/421-6901-180, www.ficontec.com.
Multibeam SEM/FIB for simultaneous micro-milling
|
A new high-throughput SEM/FIB combines focused ion beam micro-milling with the high-resolution imaging of the JEOL LaB6 electron column. The MultiBeam is a high-productivity tool for IC defect analysis, circuit modification, TEM thin film sample preparation, and mask repair. The tool features Serial Slicing and Sampling for in-process monitoring of milling, fabrication, and reconstructing 3D images of the sectioned area. A maximum milling current of 30nA ensures high throughput milling of large areas. Additional features include low vacuum operation for nonconductive specimens without coating or alteration, a gas injection system for etching and deposition, a large stage for up to 150mm samples, and a multiple port design for a range of analytical needs. JEOL USA Inc., Peabody, MA USA; ph 978/535-5900, www.jeolusa.com.
Solid-state UV laser for drilling and micromachining
|
The AVIA 355-7 Q-switched, frequency-tripled, solid-state laser delivers over 7W of average power at 355nm. The highest average power is achieved at repetition rates near 60kHz, but the laser can be operated at pulse rates as high as 100 kHz, enabling high throughput micromachining processes. Pulse width is less than 30 nsec to minimize peripheral thermal effects in these applications. The laser includes an automated crystal shifter, which results in uniform power output over the life of the laser, and an improved THG crystal life of 60,000 hours. This laser is good for micromachining applications such as via-hole drilling, chip singulation, scribing and dicing of silicon and low-k materials, and solar cell micromachining. Coherent Inc., Santa Clara, CA USA; ph 408/764-4000, www.coherent.com.
Focused ion beam system
|
The OptiFIB-IV focused ion-beam (FIB) system is the latest generation of this company’s coaxial photon ion microscope FIB systems that can now quickly edit devices targeted for 45nm process technology and below. The system features second-generation copper etch and good end-point detection capability. The new user-friendly, PC-driven software control interface with integrated FIB assist technology from Fibics Inc. provides good image quality and backside circuit edit capability. By enabling precision milling through the backside of a device, the OptiFIB-IV enhances 45nm production and 32nm development. It is compatible with Credence Systems’ NEXS Software Suite, which provides an easy-to-use navigation interface. Credence Systems Corp., Milpitas, CA USA; ph 408/635-4889, www.credence.com.
Laser upgrade increases scanner output
The gas lifetime eXtension (GLX) product is a stand-alone upgrade package consisting of both software and hardware to support increased intervals between gas exchanges for lithography laser systems. Laser gas exchanges typically occur 2-3× each week (or every 100M pulses), with each exchange lasting approximately 20 min. Scanner downtime from frequent gas exchanges is reduced with a GLX-enabled laser by a factor of 10. A 4kHz scanner system will typically see increases from 2600 to 7800 wafer passes, and a 6kHz scanner system from 2400 to 7500 wafer passes annually. Similar performance improvement is realized by logic- and foundry-based systems. The GLX software component also contains a new gas control algorithm to enhance gas management. Cymer Inc., San Diego, CA USA; ph 858/385-7300, www.cymer.com.
Backside edit upgrade for FIB system
|
A field upgradeable option adds backside circuit edit capabilities to the recently announced V600CE focused ion beam (FIB) system. The available enhancement adds an infrared camera and bulk silicon trenching capabilities to the automated FIB platform, making the system a good solution for all circuit edit applications for complex semiconductors. With the backside circuit edit option, the V600CE becomes an even more flexible and precise tool for design validation and performance optimization, supporting flip chip as well as traditionally packaged semiconductor devices. The V600CE, released in June, has the NanoChemix gas delivery system and end-pointing capabilities to deliver precise, flexible circuit edit for increased success rates on today’s 65nm and below devices. FEI, Hillsboro, OR USA; ph 503/726-7500, www.fei.com.
New drying chamber for LEDs
|
The MDS/250/LED is a critically clean, HEPA-filtered drying console adapted for LED manufacturing processes. The touch-panel controlled design goes beyond this company’s patented technique of incorporating HEPA or ULPA filtration within the actual re-circulating air path. It also features a laminar flow-guide that prevents formation of particle-retaining turbulent pockets in the corners of the drying chamber. The result is a miniature high performance “inverted cleanroom,” in which freedom from contamination can be assured throughout the rapid drying cycle. Interlab Inc., Danbury, CT USA; ph 203/748-5624, www.interlab-inc.com.
Advanced films metrology system
|
The Aleris 8500 advanced films metrology system is this company’s modified broadband SE tool to push the wavelength from a 190nm lower wavelength limit down to 150nm. Laser desorption removes airborne molecular contamination from up to 8 hrs of queue-time exposure to ensure accurate measurements. Stress measurement is done using laser rastering across the wafer and sub-millimeter spatial resolution at the detector to measure at little as 10µm of bow due to thin films. The measurement technique features next-generation broadband SE optics. The StressMapper module improves 2D stress measurement capabilities. KLA-Tencor Corp., San Jose, CA; ph 408/875-9037, www.kla-tencor.com.
Single-axis actuators
These single-axis actuators, available in 208 configured styles, are compatible with most servo motors. By configuring the precision grade C10 ball screw diameter (12-20mm) and lead (4-20mm), as well as the base width, stroke, and table length, machine and equipment builders can design these actuators to suit the application at hand. High positioning repeatability is as low as ±0.03mm. Maximum velocities are available to 1055mm/sec. Misumi USA Inc., Schaumburg, IL USA; ph 800/681-7475 or 847/843-9105, www.misumiusa.com.
Dry etch tool
The PlasmalabµEtchEL dry etch tool is a flexible and economic entry-level tool with switchable dual-mode PE/RIE (plasma etch
eactive ion etch) capability. It offers a range of processes for failure analysis from isotropic passivation removal to anisotropic oxide removal, from small die or packaged devices through to 200mm wafers. The dual-mode PE/RIE configuration enables processes to be run for isotropic polyimide removal (RIE), isotropic SiNx removal (PE) and anisotropic IMD/ILD etch (RIE) in a single tool, for small die or packaged devices through to 200mm wafers. The PlasmalabµEtchEL reportedly offers good uniformity with good reproducibility and selectivity. Oxford Instruments Plasma Technology, Yatton, Bristol, UK; ph 44/193-4837-000, www.oxford-instruments.com.
Interface performs actionable critical area analysis
This interface between Ponte’s YA System and Cadence’s Virtuoso platform lets designers efficiently perform critical area analysis (CAA) and repair during layout. Developed under the auspices of the Cadence Connections Program, the interface allows IP designers to address CAA in an actionable manner during the creation of IP elements, standard cells, and memories. Since CAA is both statistical and contextual, designers have had difficulty taking specific measurable actions to reduce CAA issues. The current release of Ponte’s YA system addresses this problem by presenting the designer with prioritized CAA hot spots, which will ensure the most critical CAA effects, as predicted by certified defect kits provided by the leading foundries, are identified and corrected. Ponte Solutions Inc., Montain View, CA USA; ph 650/559-9001, www.ponte.com.
High force device bonder with nanoimprint capabilities
|
The FC300 high-force (4000N), high accuracy (0.5µm) device bonder for wafer diameters up to 300mm is the first step in a joint development program between CEA Leti and S.E.T. It includes a built-in chamber for collective reflow in a gas or vacuum environment. The system also features nanoimprinting capabilities. The FC300 is able to perform various applications on the same platform with a quick process head reconfiguration. It is available with automatic handling of chips, templates, and small size substrates. The bonder’s flexible design features different configurations: high force for Cu-Cu bonding applicable to 3D-IC packaging or nanoimprint using a hot embossing lithography process; and low force bonding for reflow bonding of rf & optoelectronics devices assembly and UV curing for adhesive bonding or for nanoimprint using the UV-NIL process. S.E.T (former SUSS MicroTec Device Bonder Division), Saint-Jeoire, France; ph 33/450-358-392, www.set-sas.fr.
Automatic test pattern generation
The TetraMAX automatic test pattern generation (ATPG) diagnostics creates high-quality manufacturing tests and identifies logic in a design that could contribute to observed tester failures. TetraMAX failure diagnostics data is exported to the new Odyssey DFT module to facilitate comprehensive failure analysis and rapid yield improvement of fabricated devices. The software helps identify underlying failure signatures to enable faster yield ramp. Designers can now leverage the foundry-supplied data together with failure diagnostics accumulated from production runs (a capability often referred to as “volume diagnostics”) to determine the root cause of yield loss. Synopsys Inc., Mountain View, CA USA; ph 650/584-8635, www.synopsys.com.
Sealing compound
The Isolast Fab Range J9675 sealing compound is engineered to offer good chemical resistance with minimal particulation across a wide range of semiconductor wafer processes. It is said to have enhanced performance in fluorine- and oxygen-based plasma environments. Its unique curing and filler system ensures good long-term properties in operating temperatures up to +300°C/+572°F. It provides a universal sealing solution in dry process and plasma semiconductor wafer processing environments, and has standard product finishing in a Class 100 cleanroom. Typical applications include deposition (DVD, PECVD, HDPCVD, RPCVD, APCVD, SACVD, and DCVD), oxidation, diffusion, etching, ashing, metallization, and RTP processes. Trelleborg Sealing Solutions, Fort Wayne, IN USA; ph 260/749-9631, www.tss.trelleborg.com/us.