PRODUCT NEWS
09/01/2007
Wafer coating
|
WaferBOND HT-250 coating can be used in high-volume device manufacturing and in advanced packaging processes for flash memory, image sensors, and power device manufacturing. The coating allows device substrates to be temporarily bonded to a carrier substrate for thinning and follow-on processes, such as deposition, lithography, etching, plating, annealing, and cleaning, to generate through-hole via structures and redistribution layers on the thin device wafer. This achievement is reportedly key to enabling 3D stacking of devices, which is needed to produce multifunctional devices having improved performance and compact footprints. High-temperature processing capability is ≥200°C; low total thickness variation (TTV) is <0.5µm at 10nm. Brewer Science, Rolla, MO, United States; ph 573/364-0300, www.brewerscience.com.
ArF laser light source
|
The XLR 600i 90W argon fluoride (ArF) laser light source is designed to enable volume immersion and double patterning lithography at the 32nm node and beyond. The source relies on a recirculating ring architecture that replaces the conventional power amplifier stage. The 6kHz XLR600i produces improved pulse energy stability, resulting in improved critical dimension (CD) control required for critical imaging layers at memory, logic, and foundry chipmakers. The source also has advanced bandwidth stabilization technology that is said to deliver superior bandwidth performance that achieves predictable and repeatable wafer-level process control. The XLR 600i also exhibits a 10× reduction in gas refill frequency through gas lifetime extension technology, thereby increasing the net laser availability for increased wafer production output. Cymer Inc., San Diego, CA, United States; ph 858/385-7300, www.cymer.com.
Wafer defect inspection/classification
|
The eDR-5200 wafer defect review and classification system, used with KLA-Tencor inspection tools, enables fabs at the 45nm node and beyond to produce a greater number of higher quality defect Paretos per hour, allowing engineers to take rapid corrective action to protect their yield. The immersion column design breaks through the resolution barriers hampering traditional SEM review systems, enabling the imaging and classification of <50nm defects. The eDR-5200 employs an EDX design that uses robust algorithms to enable analysis and classification of defects <100nm dia., based on their composition. The system is equipped with a high precision stage and advanced defect de-skewing algorithms. KLA-Tencor Corp., San Jose, CA, United States; ph 408/875-9037, www.kla-tencor.com.
Magnetically levitated turbopumps
|
The HiMag 3400 magnetically levitated turbopumps with integrated drive electronics can be employed in critical processes, such as particulate contamination, high gas loads, and corrosive gases, since their high backing vacuum compatibility is achieved without a Holweck or Gaede stage. The turbopumps are protected against dust and water spray from the environment (protection class IP 54). Cooling water consumption at maximum gas throughput is 100 liter/hr. The low vibration of the pumps allows them to be used in applications requiring minimum shaking in the low frequency range. The combination venting/sealing gas valve enables the pumps to be stopped quickly; braking time is 2 min. with venting or 15 min. without. Pfeiffer Vacuum Inc., Nashua, NH, United States; ph 603/578-6500, www.pfeiffer-vacuum.com.
PECVD system
The Producer BLOk II PECVD system delivers advanced barrier low-k technology required for creating faster, more power-efficient logic chips at the 45nm node and beyond. Used in conjunction with ultralow-k dielectrics, such as Applied’s Black Diamond films, the BLOk II barrier film speeds signal transmission by reportedly reducing the effective k value of the interconnect dielectric stack by up to 10%. Applied Materials Inc., Santa Clara, CA United States; ph 408/727-5555, www.appliedmaterials.com.
KrF lithography system
The Twinscan XT:1000 scanner is a KrF lithography system that extends cost-efficient KrF technology to resolutions that previously required more expensive ArF technology. The XT:1000’s high numerical aperture of 0.93 can resolve 80nm device features. The system has throughput of 165 300mm wafers/hr under volume manufacturing conditions. ASML, Veldhoven, The Netherlands, ph 31/40-268-3000, www.asml.com.
Ultra-low leakage measurements
|
VersaTile probe cards operate from -65° to 300°C for an ultra-low leakage for measuring off-currents and to increase test throughput during wafer level reliability tests such as HCI, NBTI, TDDB, and BTS. The low-leakage, low-noise coax probe card features a rigid ceramic and metal chassis with integrated cable strain reliefs. Celadon Systems Inc., Burnsville, MN United States; ph 952/746-6222, www.celadonsystems.com.
Metal-matrix structural components
These AlSiC structural components include end effectors for automated wafer fabrication machinery and other robotic components. The structural material reportedly has the strength and stiffness of steel at one third the density. AlSiC materials and structural components may be coated with functional coatings. CPS Technologies, Norton, MA United States; ph 508/222-0614, www.alsic.com.
Lid-seal material for flip-chip
The EA-6900 lid-seal material (heatspreader) must withstand higher processing temperatures associated with lead-free BGA attach and MSL testing, due to new RoHS regulations. This microelectronic adhesive is formulated to withstand repeated exposures to the high processing temperatures associated with the rework of lead-free electronic components during board assembly. Dow Corning, Midland, MI United States; ph 989/496-5489, www.dowcorning.com/electronics.
High-flow turbomolecular pump
The STP-XA4503C turbomolecular pump is said to provide equipment manufacturers with the maximum available vacuum performance and smaller inlet port options. The pump is based on a new platform design with features to improve thermal management. It has been designed to handle both light and harsh applications, such as semiconductor etch, CVD, PVD, implant, lithography, and LCD processes. Edwards, Wilmington, MA United States; ph 978/658-5410, www.bocedwards.com.
WEC filter
The QuickChange ATE wet etch and clean filter is rated to 30nm retention and is a non-dewetting Teflon liquid filter. It is for sub-65nm roadmap applications in critical front-end cleans as well as a variety of wet etch and stripping applications. Entegris, Chaska, MN United States; ph 952/556-3131, www.entegris.com.
Seal for PECVD process tools
The Chemraz 513 Extensis seal provides an extended life for PECVD process tools and reduces equipment downtime. The involved manufacturing technology can make seals of practically limitless sizes. The seal’s Dovetail cross-section design reduces roll to make installation easier. Greene, Tweed & Co., Kulpsville, PA United States; ph 215/256-9521, www.gtweed.com.
Liquid particle counters
|
The NanoCount 50 is an ultra-small footprint liquid particle counter with a sensitivity of 50nm. The unit measures 9 × 6 × 9 in. and includes the sensor, counting electronics, and flow meter all housed in a NEMA rated enclosure. Lighthouse Worldwide Solutions, Fremont, CA United States; ph 510/438-0500, www.golighthouse.com.
ArF and KrF scanners
Two new lithography DUV scanners, the NSR-S310F ArF scanner and the NSR-S210D KrF scanner, are built on the Tandem Stage platform, which is said to enable productivity improvements and enhanced overlay performance. The S310F is an advanced ArF scanner for high volume manufacturing of 65nm or smaller devices. Throughput is 174 wafers/hr. The S210D with an NA of 0.82 is designed for patterning layers down to 110 nm. The system achieves 176 wafers/hr and 9nm overlay accuracy. Nikon Precision Corp., Belmont, CA United States; www.nikonprecision.com.
Pads for reduced defect levels
The IC1000 AT defect reduction pad uses a patented groove design optimized for both wafer-scale and groove-scale fluid mechanics. The constant-area groove removes localized pressure on wafers to minimize scratches and defects. The IC1000 AT long life pad’s design ensures appropriate pad stiffness and slurry flow to maintain planarization and uniformity of performance without increasing slurry consumption. Rohm and Haas Electronic Materials, CMP Technologies,
Data analysis software
The Discover data analysis system provides capture and analysis of process performance information. It meets the needs of both process engineers requiring comprehensive methods of data analysis and operators that need to quickly and accurately see the quality data pertaining to their cell. Discover accepts data from virtually any source, surface, process , or tool. Rudolph Technologies Inc., Flanders, NJ, United States; ph 973/691-1300, www.rudolphtech.com.
Smart I/O module
The 2612 smart I/O module allows for simultaneous measurement of up to four 350 strain gauges per module. Two voltage sense lines for the bridge input provide a true 6-wire measurement with 200nV resolution at a 6Hz rate. The microcontroller provides I/O services to the remote 2601 client via a category-5 cable, which supplies isolated serial communication and power to the module. Sensoray, Tigard, OR United States; ph 503/684-8005, www.sensoray.com.
FT-IR metrology system
|
The ECO 3500 FT-IR metrology system incorporates a Spartan equipment front-end solution from Asyst Technologies Inc., a spectrometer, and enhanced analytical software. An enhanced software interface provides full SEMI E95 compliant access. The ECO 3500 is capable of undertaking a wide range of chemical analyses including ppm level measurement of carbon and oxygen in silicon and compositional analysis of hydrogen in silicon nitride and oxynitride films. Thermo Fisher Scientific, Madison, WI United States; ph 608/276-6196, www.thermo.com/semiconductor.
Coat/develop track system
The RF3S coat/develop track system delivers the technology and productivity requirements of 45nm and beyond immersion and dry lithography. It provides <0.8nm, 3σ CD uniformity and immersion defect density of <0.1 defects/cm2. The RF3S delivers 180 wafers/hr throughput, adjusts for wafer warpage, and has a flexible coat cell design that allows up to four spin coat modules and up to six SDC Soak modules. Sokudo Co. Ltd., Kyoto, Japan; ph 408/496-8010, www.sokudospeed.com.
Overlay control tool software
The Vector Raptor (VR) software product is an overlay control tool that is designed to address problems introduced by double patterning and sub-45nm process node technology. VR provides an object-oriented, fully-interactive graphic interface for advanced control of overlay
egistration with matching to any format feature-profile or film data. TEA Systems Corp., Alburtis, PA, United States; ph 610/682-4146, www.teasystems.com.