Issue



PRODUCT NEWS


07/01/2007







Automated macro defect inspection systems

Click here to enlarge image

The AXi E25 B20 all-surface macro defect edge inspection system is designed for automated inspection of wafer front, back, and edge surfaces. The edge and backside inspection modules integrate with the company’s front-side macro defect inspection platform to provide all-surface inspection reportedly without the additional delivery, load, and align time that would be required with a stand-alone edge inspection tool. Users that adopt this approach can correlate data from all surfaces to support root cause analysis, especially critical for applications such as immersion lithography and metal/high-k gate processes, which increase the potential for defects at the wafer edge. Designed to exceed both typical macro resolution and throughput, the AXi series provides multiple-inspection-resolution flexibility; typical throughputs between 120-140wph; and detection of defects as small as 0.5µm. Rudolph Technologies, Flanders, NJ, United States; ph 973/691-1300, www.rudolphtech.com.

Wet processing platform for FEOL cleans

Click here to enlarge image

The Esanti flexible, multichamber, single-wafer wet processing platform addresses front-end-of-line (FEOL) cleans for emerging technology nodes. Built on this company’s Spin Processor technology, the platform’s features include double-sided cleaning, high-temperature processing (up to 140°C), Active Jet spray, and atmospheric surface drying. The Esanti also reduces defects caused by watermarks on the wafer surface, improving device performance. Target FEOL applications for Esanti include pre-diffusion cleans, pre-gate cleans, contact and pre-metal deposition cleans, post-strip/ash cleans, dilute hydrofluoric acid (dHF)-last processing, post-implant wet resist stripping and cleaning, and photoresist rework. The platform uses up to four different chemical media. SEZ Group, Villach, Austria; ph 43/42-42204-455, www.sez.com.

300mm manual bake oven

Click here to enlarge image

This manual bake oven (MBO) offers precise and repeatable bake performance for process control in semiconductor development. The oven is configured for 300mm silicon wafers, but can be adjusted to accommodate different size wafers and different types of substrates, such as square plates or aluminum disks. It is available with various forms of automation and timing such as flip-switch automation, an automated timer for lift pins, and a manually operated load and unload end effecter (robotic arm). Other features of the MBO include high-temperature operation up to 300°C with a ramp-up time of 15 min from ambient to 300°C; cleanroom compatibility; and stainless steel construction with built-in safety features. It can be used in R&D and pilot line production or in support of other semiconductor process equipment. SITE Services Inc., Santa Clara, CA, United States; ph 408/980-1155, www.site.com.

Metrology tool for front-to-backside alignment

Click here to enlarge image

The DSM200 is an automated metrology system for front-to-backside alignment applications. It verifies alignment accuracy on wafers from 2˝ to 200mm. The system incorporates pattern recognition technology and offers a measurement accuracy of 0.2µm with repeatability of 0.15µm at 3σ on a fully automated platform with minimized operator intervention. The system enables statistical process control, quality management, and early inspections and process modifications ahead of irreversible processes. For measurement flexibility, there are an unlimited number of measurement sites over the full wafer surface. The system operates on a Windows GUI with PLC control platform. The DSM200 provides reliable metrology for double-sided alignment and exposure applications frequently used in the manufacturing of MEMS devices, power semiconductors, and optoelectronics. SUSS MicroTec Lithography GmbH, Munich, Germany; ph 49/89-32007-237, www.suss.com.

RF power-delivery system

Click here to enlarge image

This Paramount half-rack, 3kW RF generator delivers precise process power at 13.56MHz fixed or variable frequencies. It is able to handle abrupt plasma-impedance changes in real time. The Paramount system reportedly enables faster transitions, shorter process steps, and reduced process times for next-generation technology nodes. Its impedance-measurement technology rivals the accuracy of a network analyzer, while Clairvoyant frequency tuning tunes virtually instantaneously. There is process control in and outside of non-50Ω loads. Advanced Energy Industries Inc., Fort Collins, CO, United States; ph 970/221-0108, www.advanced-energy.com.

PECVD system

The Producer Celera PECVD system advances strain engineering technology and reportedly achieves the stress levels required for manufacturing faster transistors in 45nm and beyond devices. By integrating this company’s Nanocure UV cure technology with an enhanced nitride deposition chamber, the system increases film tensile stress by more than 30%, to 1.7GPa, with extendibility to exceed 2.0GPa. The same deposition chamber can deposit films with compressive stresses up to 3.5GPa for >85% improvement in drive current when used with SiGe recessed source/drain structures. The Producer Celera system has an integrated multistep deposition and cure process, which is performed in situ, without exposure to air. Applied Materials, Santa Clara, CA, United States; ph 408/727-5555, www.appliedmaterials.com.

Automated material handling system

Click here to enlarge image

The FabEX Transporter automated material handling system (AMHS) moves 300mm wafer FOUPs at high speeds (>3m/sec) to minimize FOUP delivery time. It is said to have fewer moving parts than other systems for improved reliability, and improves the utilization of tools at all points throughout a fab. The AMHS also can move around curves and ramps, while minimizing vibrations with minimal particle generation. FabEX does not need any vehicles. Using this system in a typical 300mm fab, wait times for a conventional overhead transport vehicle reportedly can be reduced by up to 90%, from a usual 60 sec or more to <5 sec on average. Aquest Systems Corp., Sunnyvale, CA, United States; ph 408/530-2500, www.aquestsystems.com.

Electron beam-based mask repair system

The MeRiT MG 45 e-beam mask repair system is said to match the advanced requirements for the 45nm design node. The tool covers opaque and clear defect repair on both phase-shifting masks (PSM) and binary masks in one platform. It enables the repair of PSMs with tunable phase and transmission matching. The MeRiT MG 45 has an automated mask loader and a high precision laser interferometric stage. The combination of an electromagnetic and electrostatic final lens provides ultra-high resolution at low voltages, 3nm spot size at 1 kV, and a maximum beam current of 20nA. It has a five-channel gas supply with mini-environment ring injection system. Carl Zeiss SMT, Bonn, Germany; ph 49/7364-202194, www.smt.zeiss.com.

Memory repair, link processing system

Click here to enlarge image

The model 9830HD is the newest member of its family of link processing and yield improvement systems. It utilizes a new 100kHz, 1.0µm wavelength laser, resulting in increased throughput of up to 50% when compared to previous generations of the platform. The system also benefits from its digital architecture, which uses a new digital pulse detector module, which is said to enable an accurate pulse monitoring capability at high repetition rates and low pulse energies. This allows for accurate energy delivery to smaller link geometries, which improves yields and throughput. It boosts yields for NAND flash, DRAMs, SRAMs, embedded memory devices, and other laser-fuse applications on 90nm and 70nm nodes. Electro Scientific Industries Inc. (ESI), Portland, OR, United States; ph 503/641-4141, www.esi.com.

System for defect characterization

Click here to enlarge image

The Expida 1255S system for applications including defect characterization, failure analysis, and transmission electron microscope (TEM) sample preparation, extends the capabilities of the Expida family through improvements to the Sirion electron column and the addition of an integrated 14-segment scanning TEM (STEM) detector for 30kV STEM imaging. The system integrates sample lift-out and handling to support these new features, reportedly shortening the time required to go from full-wafer samples to high-contrast, high-resolution images and analysis. In addition, the Expida 1255S system assures correct end pointing and guaranteed lamella thickness by enabling STEM imaging while milling the TEM sample to its final location and thickness. FEI Company, Hillsboro, OR, United States; ph 503/726-7500, www.feico.com.

Hybrid confocal-atomic force microscope

This new tool geared for 3D metrology applications is a combined advanced confocal/atomic force microscope (ACM/AFM) that will provide users with the speed and 3D imaging advantages of ACM, combined with atomic-level resolution measurement capabilities of an AFM on one platform. The system is said to enable 3D measurements of material structures and fluid flow with submicrometer resolution. It provides structural characterization of materials, including steep slopes, rough surfaces, and subsurface features in transparent media. The system will be built to handle wafers up to 12˝ dia. and will feature a patented replaceable probe module. Hyphenated Systems, Burlingame, CA, United States; ph 650/651-3000, www.hyphenated-systems.com.

Sion plasma arc detector

Click here to enlarge image

The Sion plasma arc detector provides real-time, high-power analyses of plasma micro-arcing, which can cause damage to the target, the film being deposited, and the wafer surface in chemical vapor deposition and etch processes. Sion employs the FabGuard integration and analysis system to detect arcs that were previously undetectable. Sion’s performance does not affect the tool’s RF delivery system characteristics. The nonintrusive clamp-on design provides a compact sensor that connects directly to the process chamber’s high-power RF delivery system to collect voltage and current information at speeds up to 20kHz. INFICON, East Syracuse, NY, United States; ph 315/434-1100, www.inficon.com.

Lean-etch wafer processing system

This Lean Etch wafer processing system is built with lean principles and parallel architecture that uses dual independent linear robots to replace the large central handler commonly found on cluster tools. The linear platform eliminates dependency on a single, central handler. A wide, central service aisle eases serviceability by providing 360˚ access to the mainframe and chamber backside. The design allows for both single-sided (3 chamber) and dual-sided (6 chamber) configurations for 100% redundancy. The Lean Etch chamber offers advanced capabilities for dielectric etch applications. The combination of T-source design with instant-on technology and pulsing capability reportedly enables a large process window, higher etch rates, a continuous vertical profile, and high PR selectivity. Intevac Inc., Santa Clara, CA, United States; ph 408/986-9888, www.intevac.com.

Materials processing system

Click here to enlarge image

The new IX-70 ChromAblate on-target inspection and short deletion system is a laser-based materials processing system with a compact benchtop configuration and a high degree of flexibility. It was designed for the repair of flat panel displays, micro-circuits, and wafers; can be applied to various micromachining applications; and can be configured with IR, visible, or UV wavelengths to process a range of materials from metals to polymers. Various geometric shapes can be projected onto the work surface through an adjustable aperture. The IX-70 incorporates a co-axial high resolution CCD camera, adjustable zoom lens, and LCD display for real-time process viewing. The system is available in multiple wavelengths, and offers power for up to 90mJ at 1064nm, 50mJ at 532nm, 11mJ at 355nm, or 10mJ at 266nm. J.P. Sercel Associates, Manchester, NH, United States; ph 603/518-3200, www.jpsalaser.com.

Bridge offset tool

This bridge offset tool (BOT) is a loadlock and transfer system for bridging process modules. This tool can receive a 200mm wafer at one transfer plane and raise or lower it to transfer it into a 300mm process module at a different plane. The BOT can also be used as a single-wafer process tool and can be operated through an HMI or using RS232 from a central host computer. The tool is supported by a cleanroom-compatible epoxy powder coated frame with leveling castors and can be customized to meet a variety of requirements. Transfer Engineering and Manufacturing Inc., Fremont, CA, United States; ph 510/651-3000, www.transferengineering.com.

Parametric test platform

Click here to enlarge image

The 4080 series parametric test platform is designed to meet the evaluation needs of engineers working in semiconductor fabs and research environments. It covers the full range of measurement requirements, from mainstream processes to advanced processes beyond 45nm. The platform reportedly has a faster CPU than its predecessor and has asynchronous and synchronous parallel test capabilities. It is a modular and expandable production test platform that allows users to add new testing capabilities, such as NAND/NOR flash memory cell characterization and RF S-parameter measurement. It is available in three models: the 4082A parametric test system for general purpose parametric test; the 4082F flash memory cell parametric test system for NAND/NOR flash test; and the 4083A DC/RF parametric test system for high-frequency measurement requirements. The models feature a unit for making fast capacitance measurements in the 1kHz to 2MHz range. Agilent, Santa Clara, CA, United States; ph 800/829-4444, www.agilent.com.

Power device characterization

Click here to enlarge image

The Tesla power device characterization system solves the on-wafer probing challenges for engineers and test technicians who need to characterize power devices. It provides low contact resistance measurements of power semiconductors up to 60A and 3000V. The system features two wafer probes: a high-current probe that reduces the potential for probe and device destruction during testing, and a high-voltage probe that reportedly ensures a high-performance electrical measurement path. Both probes feature a replaceable tip. The Tesla system’s wafer chuck provides handling for thin wafers. Chuck-top technology provides the right amount of vacuum to protect against wafer breakage and probe damage, while ensuring minimal contact resistance. To ensure operator safety and ease of set-up, a safety interlock system and remote system operation are offered. Cascade Microtech Inc., Beaverton, OR, United States; ph 503/601-1000, www. cascademicrotech.com.

Wafer defect inspection system

The Argus 3200 high-resolution wafer defect inspection system combines an enhanced version of this company’s Step&Image technology with its new IPX image processing platform. The system extends production flexibility and sensitivity into front-end-of-line (FEOL) and back-end-of-line (BEOL) memory wafer inspection applications. For FEOL, the Argus 3200 utilizes diffraction-limited high-NA optics for high sensitivity brightfield and darkfield inspection. Fourier filters suppress pattern information. Algorithms suppress noise and enhance defects of interest closer to boundaries, features, and in stitch-lines. For BEOL, two angles and two radial orientations of oblique illumination maximize DOI sensitivity across complex BEOL films. Cross-polarization suppresses noise from grainy metal films. Coherent brightfield illumination reportedly provides high sensitivity on copper and photoresist applications. Negevtech Inc., Santa Clara, CA, United States; ph 408/486-9831, www.negevtech.com.