Product News
06/01/2007
Trench etch system
|
The Centura Mariana trench etch system can etch 80:1 aspect ratio trenches, which allows users to scale DRAM capacitors beyond 70nm. Dual-frequency tuning capabilities tightly control the etch profile and critical dimension with 2% etch depth nonuniformity. The new etch chamber is based on traditional MERIE (magnetically enhanced reactive ion etch) technology, with some additions, such as a 60MHz bottom source as opposed to placing the source in the lid. The etch system’s gas injection has two process-uniformity tuning knobs. Dual-zone gas injection allows the user to flow different gases into the center of the wafer vs. the edge of the wafer. Applied Materials, Santa Clara, CA, United States; ph 408/727-5555, www.appliedmaterials.com.
E-beam system
|
The SB351 e-beam system can be used for mask-writing as well as direct-write applications. Using shaped beam technology, this variable-shaped beam (VSB) system allows mask-write applications down to 90nm in production and 65nm in R&D. The VSB system enables a resolution below 100nm for advanced OPC strategies and NGL masks (1nm writing grid). The high-precision stage features a travel range of 310mm × 310mm, which allows complete exposure of 300mm wafers for direct-write applications. The SB351 is compatible with SEMI standard mask sizes up to 9 in. and wafer sizes up to 300mm. The combination of the “write-on-the-fly” writing mode and the flexible stage speed principle increases throughput. The system has a transparent data- and job-handling interface, and its pattern data handling is accomplished with proximity effect correction. Vistec Electron Beam GmbH, Jena, Germany; ph 49/3641-65-1900, www.vistec-semi.com.
PECVD thin-film processing system
|
The Vector Express plasma-enhanced chemical vapor deposition (PECVD) platform delivers thin-film process performance for tool extendibility at the 45nm node and beyond. Using the SmartSoak processing feature, the Vector system’s multistation sequential processing architecture can control wafer heat-up independently from film deposition. This enables a more stable and consistent wafer temperature at the start of film deposition, while reducing thin-film processing time. The Vector Express with SmartSoak has shown defectivity performance at 0.08μm particle size for a 500Å TEOS oxide process. Thin-film thickness control is better than one atomic layer on a 40Å silane spacer oxide process. The platform also introduces high-speed mechanical components, automated wafer monitoring, and advanced materials delivery technology. Vector can deposit all dielectric films required for advanced dual damascene structures. Novellus Systems Inc., San Jose, CA, United States; ph 408/943-9700, www.novellus.com.
Processor with Class 1 mini-environment
|
The SSEC 3300 Series processors with Class 1 mini-environments include SCARA robotics, with vacuum or edge grip handling for wafers to 300mm. The SCARA robotics handler is completely sealed, for operation within the processor’s Class 1 mini-environment. In addition to a cleaner environment, wafer handling performance is increased by the use of servo-driven paddles, with high resolution, harmonic drive on the theta motion. Wafer flip capability is provided for double-sided cleaning. The SSEC 3300 Class 1 mini-environments have also been enhanced for easier service access, with E-boxes on top of each side. Solid State Equipment Corp., Horsham, PA, United States; ph 215/328-0700, www.ssecusa.com.
Electron microscope system
This Crisp transmission electron microscope (TEM) probe system for the imaging and analysis of materials with atomic resolution includes an imaging energy filter from the Zeiss 200kV Libra 200 instrument generation, supplemented with an electrostatic electron monochromator and an aberration-corrected illumination system. The monochromator reduces the energy width of the electron beam from its original 800meV to 150meV, and thus reduces chromatic aberrations in the image and permits the sustained increase of the spectroscopic resolution of the microscope. Carl Zeiss SMT,
Lathe for beveling silicon wafers
The LA3200 advanced lathe provides precision micro-abrasive blasting for demanding production environments, including beveling silicon wafers. The lathe works by propelling abrasive air out of up to five small nozzles aimed at a part rotating on a spindle. The nozzles are mounted on a blast head that moves on the x- and z-axes. This movement is coordinated with the spindle rotation, enabling the system to trace complex shapes. For semiconductor applications, the wafer turns like a record in the horizontal plane, but also moves left to right, which is coordinated with the blast head, allowing it to bevel the shape required. It has an adjustable engineering OD head with 13 controls for precise alignment of nozzles. Comco Inc., Burbank, CA, United States; ph 818/841-5500; www.comcoinc.com.
Wafer probe card
|
The PH150XP wafer probe card is a cost-effective solution for testing smaller-sized, smaller density DRAM devices (512MB and below) where tester resources mandate four or more touchdowns per wafer. The PH150XP probe card features a new MicroSpring contact design that can accommodate more than 25,000 contacts, making it a good solution for testing high pin-count mobile DRAM devices such as those used in MP3 players and cell phones. Architectural enhancements on the PH150XP probe card also enable tight planarity and high positional accuracy with the wafer during probing. FormFactor Inc., Livermore, CA, United States; ph 925/290-4000, www.formfactor.com.
Plasma arc detector
This plasma arc detector provides real-time, high-power analyses of plasma micro-arcing, which can cause damage to the target, the film being deposited, and even the wafer surface in chemical vapor deposition and etch processes. The plasma arc detector employs the company’s FabGuard integration and analysis system to detect arcs that were previously undetectable. The plasma arc detector’s performance isn’t hampered as are inline cable mount sensors; it doesn’t change the tool’s RF delivery system characteristics. The nonintrusive clamp-on design provides a compact sensor to connect directly to the process chamber’s high-power RF delivery system to collect voltage and current information at speeds up to 20KHz. INFICON, East Syracuse, NY, United States; ph 315/434-1100, http://www.inficon.com.
Laser scribing machines
The new PV Series are Class 1 enclosed laser scribing systems for isolation and series interconnection of thin film solar cells. The systems are built on the ChromaDice diode pumped solid state (DPSS) laser platform. It employs high peak power, short-pulsed DPSS laser sources to rapidly, selectively. and accurately remove a wide range of thin films from large glass, metal, or polymer substrates. A variety of wavelengths suitable for different layer materials include 1064nm, 532nm, 355nm, and 266nm. These systems use advanced laser technology scribing to produce fine scribed lines with >30MΩ isolation. The PV Series workstations are modular and scalable to accommodate glass sheet sizes up to 1300 × 1300 mm. J.P. Sercel Associates (JPSA), Manchester, NH, United States; ph 603/518-3200, www.jpsalaser.com.
Laser photomask writer
The Sigma7500-II has a new linearity equalizer function that is capable of writing subcritical layers for 45nm and 65nm that previously have required e-beam writers. By integrating an industry-standard Mentor Graphics OPC solution, the linearity and proximity characteristics of the Sigma7500-II can be matched to existing pattern generators, allowing substitution at a lower cost. Sigma systems are used in photomask production and utilize a 248nm KrF excimer laser along with Micronic´s proprietary spatial light modulator technology, offering a combination of high resolution, OPC fidelity, CD control, and high throughput. The systems integrate into existing photomask production flows, supporting industry standard data formats and mask data preparation tools, SMIF material handling, and industry standard resist processes. Micronic Laser Systems AB, Täby, Sweden; ph 46/8638-5200, http://www.micronic.se.
Angle and inline valves
|
This series of angle and inline valves are suitable for a wide range of applications in the high vacuum range. The valves feature a robust design that resists contamination, increases service life, and makes units suitable for industrial applications. Valves are available in DN 16, 25, and 40 ISO-KF sizes. These manually, electropneumatically, and electromagnetically operated angle and inline valves feature improved conductivity, resistance to differential pressure, and short response times. Pfeiffer Vacuum Inc., Nashua, NH, United States; ph 603/578-6500, www.pfeiffer-vacuum.com.
XYZ piezo scanner for AFM
|
The minute P-363 PicoCube, together with its ultra-low noise E-536 driver/controller, provide significantly higher resolution and positional stability than previous multi-axis scanning stages. It has a high-speed XYZ scanner for AFM/SPM and a manipulation tool for nanotechnology. It has a high-stiffness shear piezodrive that provides up to 10kHz resonant frequency for faster response and higher scanning performance. Its noise controller enables 25pm (0.025nm) resolution. The scanner is small and rugged and is intended for applications such as AFM (atomic force microscopy), SPM (scanning probe microscopy), nanomanipulation, nanotechnology, nano-imprint, and semiconductors. PI (Physik Instrumente) LP, Auburn, MA, United States; ph 508/832-3456, www.pi-usa.us.
Purge-nest product series
The Smart Shelf purge nest product series eliminates wafer defects significantly by creating an environment that controls oxygen and humidity levels. It improves yield and saves on consumables by lowering contamination through real time RH and O2 measurements. The closed loop control flow of nitrogen maintains cleanliness by keeping atmospheric gases at a minimum. This product is available with three integration modes, stocker integration, overhead buffer (OHB) integration, and stand-alone automated station integration. The Smart Shelf purge nest meets all applicable SEMI standards. Ricor Cryogenic and Vacuum Systems, En Harod, Ihud, Israel; ph 97/246-530-800, www.ricor.com.
Interconnect platform
The MicroPILR interconnect platform is designed to revolutionize the interconnect within semiconductor packages, substrates, printed circuit boards (PCBs) and other electronic components. The novel interconnection is achieved through low-profile, pin-shaped contacts that replace conventional technologies such as solder balls on semiconductor packages and plated vias in package substrates and PCBs.These contacts deliver a number of key benefits needed to meet emerging product roadmaps, including significantly reduced profile, fine pitch, improved electrical and thermal performance, and enhanced reliability. Tessera, San Jose, CA, United States; ph 408/894-0700, www.tessera.com.
300mm resistivity standards
|
These NIST-traceable 300mm calibration standards for resistivity and sheet resistance will allow users of automated 300mm four-point probes and other noncontact sheet resistance measurement tools to calibrate and monitor these instruments. These standards create an added layer of process yield security by ensuring that end-users tools are accurate and repeatable. Resistivity standards are bare silicon wafers. The silicon is p-type (Boron) doped to nominal resistivity values, from 0.02Ω.cm to 10Ω.cm. For enhanced measurement on contact probes, the wafers are lapped and chemically polished. The increased surface roughness allows cleaner penetration through the native oxide layer and better contact. VLSI Standards Inc., San Jose, CA, United States; ph 800/228-8574, www. vlsistandards.com.
Basement gate valve
|
This basement gate valve is designed to enable this company’s dry vacuum pumps operating in harsh processes to be kept running during foreline maintenance. The valves were developed as part of a joint collaboration with VAT. The valves have been specially designed with a unique wedge sealing system that eliminates the complex mechanism of traditional gas valves. The benefit is that no oil, grease, or moving parts are within the vacuum, thereby reducing the risk of the mechanism being damaged by contamination of the lubrication in dusty processes. In addition, the simplified gate sealing design makes for quick and easy maintenance. Both manual and pneumatic BGV valves are available. The pneumatic valves can be supplied with the solenoid pre-fitted and wired. BOC Edwards, Wilimingon, MA, United States; ph 978/658-5410, www.bocedwards.com.
Ionizer for eliminating static charge
The Ion Systems AeroBar VF Model 5359 ionizer eliminates static charge on glass panels in the FPD industry, solar industry, and in other large-surface applications. Its nozzle technology reduces maintenance and its user-settable controls provide mounting flexibility with distances ranging from 50mm to 1.5m away from the panels. The nozzle technology reduces the number of emitters in half and has a quarter-turn design, which reduces the time and expense required to clean or replace points. The ionizer has power and timing controls to adjust performance settings for balance and decay times. It can be customized to fit the different glass substrate spacings in new-generation FPD fabs. MKS Instruments Inc., Wilmington, MA, United States; ph 978/284-4000, www.mksinst.com.
Linear ball-screw stage
The ATS115 series compact, hard-cover, linear ball-screw stage travels up to 600mm with speeds up to 300mm/sec and a side-seal design with hard cover for medium-performance applications. The robust aluminum cover is hard-coated for scratch resistance. The side seals keep dirt and particulates out of the stage, protecting the bearing surfaces from contamination and increasing stage bearing life. The ATS115 uses a NEMA 23 flange-mounting interface for attachment of Aerotech and third-party motors. Base-mounting holes are accessible from the outside of the stage for ease of integration. Aerotech Inc., Pittsburgh, PA, United States; ph 412/967-6854, www.aerotech.com.