Optics lives-but for how long?
05/01/2007
Many presentations at this year’s SPIE Advanced Lithography Symposium showed the 45nm node in production as scheduled, using 193nm immersion lithography, while others looked ahead to future nodes achieved on the two-year ITRS schedule (32nm in 2009, 22nm in 2011, etc.). Each year, the demise of optics is anticipated, with much attention given to a changing list of replacement technologies. As the years pass, this scene repeats-optics continues to do the real work; its demise continues to be anticipated; and thus likely replacement technologies are discussed.
Optics using 193nm wavelength light, water immersion, and higher numerical aperture lenses (1.30-1.35NA) are moving into production at IBM, TI, Intel, TSMC, and other sites to produce chips that meet 45nm node requirements. ASML says it has now shipped 36 immersion systems, Nikon also has shipped a few, and Canon is not far behind with a whole new 7000 platform. Resist images for immersion images at 40nm half pitch looked impressive (see figure).
Defects found on production wafers processed with 193i received a lot of attention this year. Each IC manufacturer and each tool supplier had been looking very carefully at defects, with all reporting that defect levels are the same as for dry lithography, in the range of single digits per cm2. It was clear from these talks that the resist topcoat (TC) is a source of added defects and that the added process steps add particles (see “Topcoat trends at SPIE,” p. 26).
A new kind of TC blister was also reported. Water appears to penetrate the topcoat, creating a dome shape that deflects the image so lines are not well formed. Immersion processes without a TC reported fewer defects. For some groups, their TC appears to allow the quencher to leach into the water. When a small droplet is left on a wafer, the quencher seems to concentrate in one spot, preventing development of an image. Clearly, more work remains to be done on immersion defects-a process that works without TC is clearly better, but defect levels for immersion lithography are low enough to permit high-volume manufacturing.
Most people at this year’s conference expected optics to be pushed to the 32nm node in a couple of years, with double patterning as the preferred method. SEM pictures show a dramatic improvement in SRAM structures when a second exposure is used to form the line ends. IC makers complain about doubling mask costs, but this complaint does not appear to be realistic. Only a few layers (~20% of the total number of layers) will be printed at the leading edge. Mask costs vary with writing density, so a less dense mask (half the pattern is on the companion mask) should cost less. In addition, toolmakers are planning faster tools, so the net result may be an increase in lithography costs of 10% or less. Not all present designs can be divided into two mask patterns-phase shift masks faced a similar problem a few years back-but a combination of improved design software and changed circuit designs solved this problem for phase shift masks. Expect a similar solution for double-patterning masks.
Overlay is the major challenge for double patterning. ASML and Nikon reported that overlay on real process steps is somewhere between 5-10nm. Clearly, some applications challenges remain to reach CD uniformity goals with double patterning.
Hope remains that very high-NA imaging may replace double-patterning with single-exposure methods as the 32nm process ramps. Gen 2 high-index fluids that replace water and 1.65NA lenses look feasible, but these advances may fall short-the k1 factor falls to 0.28 for a 1.70NA lens at 193nm. But such a low k1 factor may be workable, and wavefront errors in lenses, illuminator uniformity, and excimer laser performance all continue to improve.
However, these incremental gains may not be enough to provide a real process window for single exposure at the 32nm node. Clearly IC makers want more-i.e., Gen 3 high-index fluids and very high-NA lenses. For example, a 1.90NA lens would move k1 up to 0.315, about what 45nm tools are providing. Schott Lithotec reported that it has grown LuAG crystals at 80mm dia., and expects to deliver 250mm dia. lens-quality material in 1H09. This schedule puts single exposure for the 32nm node at risk-even if the LuAG material really is lens quality in 2009, the first tools will not ship until 2011, two years after the planned introduction of 32nm.
EUV is now the expected replacement for optical lithography. ASML and Nikon expect EUV production tools will be introduced at the 32nm node and ramped in production at the 22nm node. Canon said it will evaluate choices in 2007, but it sees much the same outlook. ASML showed images of 32nm contact holes made on an EUV tool.
Unfortunately, the future may not be as promising as EUV champions proclaim. EUV resist images-and there were many shown at this conference-do not look acceptable below 40nm. There is a lot of line edge roughness and microbridging in almost all images, and the resist images that do look adequate are made with materials that are 5× too slow. Rohm & Hass suggested that an EUV resist that meets CD and LER goals may not exist below 20mJ/cm2. With interference tools, small field tools, and a few full-field tools now available, the resist companies can get all the experimental time they need. Still, it’s unlikely a good EUV resist will be ready by 2009.
The EUV source has been another showstopper. All systems in the field are using a dense pinch plasma (DPP) source, and none of these work reliably. (IMEC’s alpha tool actually was delivered without a light source.) A significant increase in source power is needed to meet throughput and CoO goals. But there is hope-both EUVA and Cymer reported significant progress with CO2 pulsed lasers and tin (Sn) droplet-type LPP sources. Their roadmaps promise up to 200W of 13.5nm light at the intermediate focus in just a few years. Maybe next year, the source solution will be clear.
EUV mask errors, defect levels, and overlay challenges are serious issues, but steady progress is being made. Better defect detection tools are coming; for example, KLA-Tencor is making an e-beam based, die-to-die defect scanner.
This year’s SPIE witnessed general agreement that optical technology will meet the needs of the 32nm node by using 193nm immersion, higher NA, and double exposure. EUV is going to miss the 32nm node, and the lithography choice for 22nm is not clear. The hurdles for optical lithography are challenging; EUV is the likely replacement technology. The familiar scene repeats: optics will do the next node, and a replacement technology is wanted for the node after that. Some things never change.
- Griff Resor
SST Editorial Advisory Board
Litho suppliers showcase offerings at SPIE
Vendors participating in the traditional Exposure Systems and Components session on the last day of this year’s SPIE Advanced Lithography Symposium took the opportunity to tout their latest hyper-NA immersion scanners-all claiming to have eliminated “immersion-specific” defects-as well as supporting technologies.
Jun Ishikawa of Nikon reported the latest 55nm production statistics obtained with the company’s NSR-609B. Total CD uniformity now ranges between 0.7-1.06nm (3σ), with single tool overlay (m + 3σ) <7nm and <12nm overlay matching with dry NSR-308F scanners. These values imply that evaporative cooling of the wafer is now negligible, even at 130wph throughput, he pointed out. Topcoat-less resists gave slightly better defect performance than developer-soluble topcoats, with defectivity <0.04/cm2 over three months.
The first of Nikon’s 1.3NA NSR-610C tools has been shipped, reported Ishikawa, and has produced 39nm half-pitch line-space patterns over a 900nm depth-of-focus with dipole illumination. With cross-pole illumination, 43nm line-space patterns could be printed in both orientations with a 2.3nm (3σ) pooled CDU. Six lenses have been produced with 1.5% flare (better than the dry 308F) and illumination uniformity ±0.1% even in extreme geometries.
Jos de Klerk of ASML countered with early results from the company’s XT:1900Gi, which has 1.35NA and can print 36.5nm line-space patterns at 600mm/sec stage speed, but with deleterious line-edge roughness. De Klerk reported a stray light level <0.4% in a 3µm dark target and CDU of <2nm (3σ) for 40nm vertical and horizontal oriented line-space patterns with cross-pole illumination. Single tool overlay was <5.5nm at 600mm/sec stage speed (131wph), with <8nm overlay mismatch to dry exposure tools. The <7nm iso-dense focus shift did not vary with tool use, implying that lens heating was not a problem. Comparing forward and reverse scans of a wafer in a single chuck implied an overlay error of <3nm for double-patterning applications.
The more established XT:1700i (with 1.2NA) meets production wafer defectivity levels for 45nm patterns at 0.03/cm2 and 0.07/cm2 in Sokudo and TEL tracks, respectively, according to de Klerk. Since the illumination systems are matched, the OPC treatments will not have to change when production is moved from a 1700i to a 1900i or vice-versa.
Paul Hinnen presented a new, more flexible alignment sensor that ASML will use to replace its Athena system. The new Smash sensor that has been used on 1250i and 1400i systems employs an interferometer with a single detector and extracts the alignment signal in software, unlike the Athena which directs each of seven diffraction orders to a dedicated detector. The new system allows smaller and more flexible mark design, and employs four different wavelengths, two of them in the infrared where hard-mask processes are less likely to adversely affect signal strength. In collaboration with Toshiba, ASML explored different alignment mark designs and showed stability <9nm over 42 days with the most promising ones.
Hiraoki Kubo of Canon described the capabilities of the company’s new FPA-7000 exposure platform, which will come with either a dry (AS5) or immersion (AS7) ArF projection lens, both shipping this year. Later versions will include KrF exposure and possibly high-refractive-index fluid immersion.
The new platform will also be compatible with small alignment marks and will incorporate in situ metrology and optimization software for tool parameters. The Canon liquid film flow nozzle operates at low pressure with the water flowing in a single direction, minimizing temperature excursions and particle transport, according to Kubo. With topcoat-free resist, defect levels were <0.03/cm2 for 14 wafers.
Both Cymer and Gigaphoton described 60W ArF excimer lasers intended for high-NA immersion lithography. Cymer announced that its first XLR500i had been shipped to Nikon to be mated with a soon-to-be shipped tool. The XLR500i employs a saturated recirculating ring amplifier to extract the most energy from the gas and maximize pulse stability. Innovations include 10× gas lifetime extension software (GLX) and automatic bandwidth stabilization (ABS). A 90W version for double patterning will come soon, according to Daniel Brown of Cymer.
Toru Suzuki described Gigaphoton’s GT61A ultra-line narrowed (E95 = 0.25pm) laser intended for >1.3NA applications. Gigaphoton’s new lasers employ an injection-locked oscillator configuration and incorporate acoustical damping to keep parameters from changing with repetition rate. All lasers are exactly the same by construction, facilitating company-wide replication of processes, Suzuki reported. A bandwidth control module was described that allows the laser spectrum to be broadened or narrowed by altering the divergence of the beam at the diffraction grating of the master oscillator. -M.D.L.
Topcoat trends at SPIE
First-generation resist systems for immersion lithography employed a topcoat material to protect the resist and prevent leaching of resist components that might damage the optics. By making the topcoat surface hydrophobic and controllable, topcoat materials suppressed defects and facilitated rapid wafer scan. However, a hydrophobic surface could interfere with resist development, and so the topcoat material also had to be removed efficiently by developer or some dedicated process step.
These topcoat systems were successful in advancing immersion lithography and suppressing characteristic (round) immersion defects, but particles, evidently originating at the wafer edge, affected the total defect level. The mechanism for producing those particles became clear at the 2007 SPIE Advanced Lithography Symposium-topcoats do not stick well to silicon, SiO2, TEOS, SiN, or other common substrate materials. If the topcoat film extends beyond the BARC and resist layers at the wafer edge, it will flake off, contributing particles, according to Junichi Kitano of TEL. The challenge is to control the wafer edge bead area, while maintaining a 2mm edge exclusion.
However, another option has appeared: topcoat-less resists. A new generation of these materials develops a hydrophobic surface in situ due to the self-segregation of a resist component during the coating process. Soichi Owa of Nikon reported that such materials gave the lowest defect density of any materials in production with the NSR-609B immersion tool-down to 0.009 defects/cm2, or five/wafer. As lens contamination recedes as a concern, simpler topcoat-less resist processes should become dominant.
Of course, a hydrophobic surface is just what you don’t want during development. Chemists at IBM’s Almaden Research Center presented formulations in which the contact angle of the surface switches with pH. The key trick is to create a self-segregating molecular structure with fluoroalcohol groups that present a fluorine-rich hydrophobic surface to pure water, but ionize to create a hydrophilic surface in the high pH of TMAH developer. Thus, there is more to water resist interactions than simple “polarity.”
Particles will remain and continue to be moved around by the fluid unless removed. Using clean wafers helped, according to ASML and Nikon, as did bevel edge drains that sucked around the edge of wafers. However, both toolmakers reported that flushing out the immersion system with “cleaning fluid” in situ periodically reduced defects in production. - M.D.L.
Solving a sticky problem in refractory organics
The advent of 193nm (ArF) lithography brought with it a different kind of issue: contaminated scanner optics caused by the formation of silicon-containing organic compounds, called refractory organics (aka low-molecular-weight silicon-containing organic species [LMWS]). These compounds arise as the energetic beamline ionizes chemicals that are present in the vicinity of the beamline, or creates free radicals-both of which are highly reactive and can combine with other molecules that can form larger molecules that condense on the optics. Over time, these larger molecules build up and cause lens contamination that results in flare (i.e., scattered light) and incorrect printing.
One of the biggest challenges in the fab with respect to keeping scanner optics clean, according to David Ruede, VP and GM of Entegris’s gas microcontamination business unit, is contending with silicon-containing species such as HMDS, an adhesion promoter that keeps photoresist from peeling. “Track manufacturers have done a really good job of making sure that HMDS vapors aren’t being given off from the track tools into the cleanroom,” said Ruede. Still, very low levels of process chemicals entering the ambient from silicon-containing organics, “even at the sub-parts-per-billion level, can accumulate on and contaminate lens surfaces over time,” he noted.
Chemical compounds of a)HMDS, b) HMDSO, andc) TMS. |
In particular, HMDS compounds are very photoactive, especially when they get in the beamline at 193nm-they will react and form ions and can combine with other things, and these could end up on the lens as SiO2 deposits, Ruede explained.
Lens elements with major contamination must be replaced because they are not cleanable in the field. “Most of the silicon-containing organics are very large and very sticky, so we can get them with our normal condensable organic filtration mechanism,” he said. Yet it’s the smaller LMWS that need to be prevented from reaching the optics-and a technique for accomplishing this goal was presented at the SPIE Advanced Lithography Symposium. [1]
According to Ruede, a new absorbent material used in Entegris’s filter keeps the “sticky” organic HMDSO in its condensable form, preventing it from rearranging into TMS, which then would propagate through the filter and ultimately reach the optics. (The figure on p. 28 illustrates the chemical compounds HMDS, TMS, and HMDSO.)
Part of the development process included working for almost two years on the kinetics and analytical capability to measure TMS. Ruede explained that there are no commercial sources for reagent-grade TMS, and it’s not easy to measure-even analytical/measurement tools can affect the results
eading of TMS in the cleanroom. TMS has a very low boiling point, so it can pass quickly through the filter, while HMDSO is very condensable, he noted.
Ruede says the company now has an analytical service for both fabs and scanner manufacturers that baselines their cleanrooms for acids, bases, and low-molecular-weight silicon-containing compounds, and provides the improved filter set to enable additional protection against LMWS. - D.V.
1. A. Grayfer, O. P. Kishkovich, F. V. Belanger, P. Cate, D. Ruede, “New Filter Media Development for Effective Control of Trimethylsilanol (TMS) and Related Low Molecular Weight Silicon Containing Organic Species in the Photobay Ambient,” #6518-157, poster session, SPIE Advanced Lithography Symposium, Technical Abstract Summary Digest, p. 81, 2007.