Issue



CVD processing platform


01/01/2007







Click here to enlarge image

The Producer GT offers 150 wafers/hour throughput, thanks to a FX robot allowing simultaneous transfer of four wafers and a three-“Twin Chamber” configuration. A double-decker loadlock reduces handling time and increases chamber utilization. The interface supports up to four FOUPs, and also has been redesigned for better serviceability. A customized pump and abatement system package from Applied’s Metron division fits the Producer GT’s three-chamber design. The tool supports Applied’s PECVD technology applications and 30 processes. Applied Materials Inc., Santa Clara, CA; ph 408/563-0647, www.amat.com.

300mm single-wafer cleaner

Click here to enlarge image

The Aquaspin SU-3100 300mm single-wafer cleaning tool, an upgrade from the SU-3000 model, has eight multiprocess chambers (two types-one for post-processing and a full-spec for all types of processing), and a new high-speed wafer trans-fer system that improves through-put to 300 wafers/hour. Multiple process module and chemical supply enhancements were made to support FEOL and BEOL clean etch requirements at 65nm and 45nm. A “dynamic direct injection” system mixes chemicals just before processing, enabling high-precision flow control. The tool is also available as an all-in-one system to eliminate the need for a separate chemical cabinet. Dainippon Screen Mfg. Co. Ltd., Sunnyvale, CA; ph 408/523-9140, http://www.dnse.com.

Click here to enlarge image

Multimethod edge inspection tool
The VisEdge CV300 edge-inspection system incorporates optical surface analyzer technology from recently acquired Candela Instruments. A simultaneous multi-channel signal acquisition technology combines four detection methods (scatterometry, reflectometry, phase shift, and optical beam deflection) to better capture defect sources and eliminate repeat scans. Advanced rules-based defect classification software with signal enhancement and filtering routines eliminates edge background noise to highlight and identify defects of interest. KLA-Tencor Corp., San Jose, CA; ph 408/875-9037, www.kla-tencor.com.

Ultrahigh throughput stepper

Click here to enlarge image

The NSR-SF150 scan field i-line stepper, a sixth-generation tool for subcritical layers, features 180 wafers/hour throughput-50% more than the previous model-with overlay reduced by more than 30% (overlay accuracy is to ≤25nm). A new wafer exchange system with optimized load/unload positioning slashes exchange times by 60%, while a high acceleration wafer stage cuts stepping time by nearly a third, according to the company. The system includes new “Skyhook” technology to reduce vibration, and optimized airflow and temperature control to improve lens stability and accuracy. Nikon Corp., Belmont, CA; ph 650/413-8533, www.nikonprecision.com.

These high-purity metal diaphragm regulators, for use with calibration gases and low-level VOC and GC-ECD analyses, have 60% less internal volume than standard regulators, the company says. A cleaning process reduces contamination potential vs. piston-sensed or elastomeric diaphragm-based miniature regulators. Advanced Specialty Gas Equipment, Middlesex, NJ; ph 732/271-9300, www.asge-online.com.

Maskless litho

The F3000 EB maskless lithography system, for 300mm and 65nm-and below processes, offers ≤40nm minimum linewidths, ≥7nm dimensional accuracy, and ≤20nm overlay accuracy. Image placement accuracy and CD control are improved by 20% vs. the previous model. Advantest Corp., Tokyo, Japan; ph 81/3-3214-7505, www.advantest.co.jp.

“Radical” bonder

This radical activation platform enables in situ alignment for ≥200mm wafers, equaling the bonding strength of plasma activation, with less surface roughening, improved surface uniformity, and a wider process time window, the company says. The system can cycle from loading, heating, and pumpdown, to unloading the wafer in <20 minutes. Applied Microengineering Ltd. (AML), Oxfordshire, UK; ph 44/0-1235-833934, www.aml.co.uk.

Upgraded MES software

Promis 5.8, an upgrade to the company’s manufacturing execution software, includes more flexibility in process modeling, GUI enhancements for expanding functionality, and a refresh feature to update lot specifications. HTTP support for the outbound API eliminates the need for middleware. Brooks Software, Chelmsford, MA; ph 978/262-2400, www.brookssoftware.com.

Filters for advanced copper processes

The Guardian ECD high-flow cartridge filters targets high-purity electrochemical deposition processes such as copper, nickel, and gold plating. A proprietary hydrophilic membrane does not react to various plating bath additives, and reduces micro-bubble formation during wafer processing. The filters are available in 0.1µm-0.05µm pore sizes, and operate to 60°C (140°F). Entegris Inc., Chaska, MN; ph 978/436-6500, www.entegris.com.

E-beam litho simulator

The Layout Beamer 2.0 data preparation system for direct write e-beam lithography systems combines layout processing with proximity effect correction, with support for multiple layouts and tool formats. A drag-and-drop interface lets users design complex, hierarchical process flows. GenISys GmbH, Munich, Germany; ph 49/89-5480-6879, www.genisys-gmbh.com.

Vacuum furnace

Click here to enlarge image

The CVF 1000 ultrahigh clean vacuum furnace is designed to reduce outgassing and pumpdown time inside a vacuum environment. Features include a stainless steel water-cooled chamber with hinged front door, thermocouple control to 1000°C, and high vacuum cryogenic pumping. Kurt J. Lesker Co., Clairton, PA; ph 412/387.9200, www.lesker.com.

Temperature controllers

The Series 48 heaters feature an integrated temperature controller, user-friendly communication and display modules, insulated silicone heater mat, and enhanced safety elements. Optional display and communications upgrade modules provide a digital display, adjustable control parameters, and other interface features. MKS Instruments Inc., Wilmington, MA; ph 978/284-4050, www.mksinst.com.

Predicting opto device performance

Click here to enlarge image

The Vertex rapid photoluminescence mapping system targets production control during volume manufacturing of optoelectronic devices such as light-emitting diodes and ultraviolet diode lasers. The company claims the system can forecast diode performance (e.g., emission wavelengths for green LEDs) at the wafer level, allowing manufacturers to adjust process controls to optimize epitaxial layer growth. Nanometrics Inc., Milpitas, CA; ph 408/435-9600, www.nanometrics.com.

MBE source for As

The ECellAs valved effusion source is designed for high-performance molecular beam epitaxy (MBE) growth of Arsenic-containing III-V materials. A patented independent heater design prevents valve clogging; a heated secondary filling port allows As recharge without first emptying the crucible. Oxford Instruments Plasma Technology, Bristol, UK; ph 44/0-1934-837028, www.oxford-instruments.com.

Post-etch residue removal

The SelectEtch SE-1220 is designed for selective removal of post-etch residue from high-aspect-ratio contacts (bottom and sides) in advanced ICs. Carefully tuned etch rates on different films prevent notching or “stair-stepping” of the sides of the contact feature. Sachem Inc., Austin, TX; ph 512/421-4906, www.sacheminc.com.

Process fluid analysis

The Swagelok CR-288 concentration monitor provides in-line measurements of liquid chemical concentration and temperature. Proprietary software enables the technician to calibrate the unit for chemical mixtures used in semiconductor laboratory or manufacturing processes, including etching, wafer cleaning, and chemical mechanical planarization (CMP). Swagelok Co., Solon, OH: ph 440/349-5934, www.swagelok.com.