Issue



Top Products of 2004


12/01/2004







Following are the Top Products of 2004 in semiconductor/thin-film processing as selected by Solid State Technology’s editorial advisory board. Products were chosen from those featured each month in Product News, based on criteria such as innovation, cost-effectiveness, and best solution(s) to a problem facing the industry.

Mini-batch ALD system targets advanced films

Click here to enlarge image

The Verano 5000 is a 300mm, mini-batch atomic-layer deposition (ALD) system for depositing high-k capacitor dielectric films such as Al2O3, HfO2, and HfSiOx for high-volume applications with quick turnaround time. The system can handle batch sizes from 1-50 wafers without the use of filler wafers, and is available with a six-FOUP stocker. Features such as fast temperature ramping, an isothermal chamber, cross-flow precursor injection, and side-by-side installation reportedly improve throughput and uniformity, reduce cycle times, and save installation time and space. Aviza Technology Inc., Scotts Valley, CA; ph 831/439-6221, e-mail [email protected], www.avizatechnology.com.

Optical CD metrology tool provides inline transistor control

Click here to enlarge image

The SpectraCD 100 uses broadband spectroscopic ellipsometry with reflective optics across a continuous wavelength spectrum from 190-800nm to detect process issues that require extreme sensitivity, such as resist footers in gate structures. The system’s new 3D modeling capability enables measurement of contact holes, and it can monitor a variety of frontend layers now including DRAM gate, bilayer resist, step height, deep trench, and CMP layers with liners. KLA-Tencor Corp., San Jose, CA; ph 408/875-4200, fax 408/875-4144, e-mail [email protected], www.kla-tencor.com.

Combo system based on ACT line

Click here to enlarge image

The Clean Track ACT M photomask resist coater/developer is targeted for 6025 substrate processing at the 90-65nm nodes. Three modules are incorporated into one system: a photomask developer, a photomask resist coater, and a photomask (PEB) baker. The system, based on TEL’s Clean Track ACT platform, provides more advanced processing control and techniques for OPC, phase-shifting, and chemically amplified resists, resulting in improved within-mask and mask-to-mask process uniformity and reduced defects. Tokyo Electron Ltd., Tokyo, Japan; ph 81/3-5561-7406, e-mail [email protected], www.tel.com.

Scanning microscope designed for submicron imaging

Click here to enlarge image

The LEXT confocal laser scanning microscope has 0.12µm resolution, 3D measurement capability, and magnification power from 120-14,400× to meet requirements for submicron imaging. A 408nm laser is combined with optics to optimize image quality and limit aberrations at this wavelength. Both video and laser confocal imaging modes offer brightfield, darkfield, and differential-interference contrast microscopy. LEXT also can simultaneously image samples in 3D with true color by combining the laser 3D image with the full-color brightfield image in the system computer. Olympus Industrial America Inc., ph 866/642-4725, e-mail [email protected], www.olympusmicroimaging.com.

Ellipsometer provides high-throughput measurements

Click here to enlarge image

The ultra-II transparent thin-film metrology system provides measurements for process control at the 90nm technology node and below, as well as for 193nm lithography processes. The MAControl option helps to eliminate effects of molecular airborne contamination on critical thickness measurements of ultrathin gate dielectrics. With a single, fast full-wafer cleaning step and controlled environment that prevents regrowth, MAControl delivers uniformity mapping for sub-20Å gate oxides. The DUV reflectometer provides high-throughput nitrogen concentration measurements for nitrided gates, and also measures 248 and 193nm ARC materials, high-k gate dielectrics, and low-k integration materials. Rudolph Technologies, Flanders, NJ; ph 973/691-1300, e-mail [email protected], www.rudolphtech.com.

Processing platform for advanced deposition

Click here to enlarge image

The Endura2 is a 300mm platform for advanced PVD, CVD, and ALD processes, featuring a modular architecture for easy access and serviceability, 20% fewer parts, and process transparency for minimum requalification. This architecture reportedly decreases system manufacturing time by one-third, reducing order lead-time and shortening startup time in customer fabs. The platform includes high-speed XP dual-wafer robotic handling technology that boosts throughput, plus easily serviced component modules that enhance availability and contribute to the substantiated 300 hr reliability (MTBF) and <2 hr repair time (MTTR). Testing has also shown 45nm-level mechanical defect performance (<0.04 defects/cm2 at >0.09µm). Applied Materials Inc., Santa Clara, CA; ph 408/563-0647, e-mail [email protected], www.appliedmaterials.com.

Step-and-repeat system has lens NA = 0.92

Click here to enlarge image

The NSR-S308F step-and-repeat system is a lens-based scanning ArF excimer laser stepper for mass production of devices at 65nm and below. The system is reportedly equipped with the highest NA projection lens (NA = 0.92) supporting an ArF excimer laser (193nm wavelength), resulting in higher-resolution imaging than in previous models. Other improvements include a 25% increase in throughput - of 140 300mm wafers/hour - and 44% improvement in alignment accuracy with the low-aberration lens, reaching the 8nm level. The system has an exposure area of 26×33mm, and a reduction ratio of 1:4. Nikon Precision Equipment Co., Tokyo, Japan; ph 81/3-3216-1030, fax 81/3-3216-1052, e-mail [email protected], www.nikon.co.jp.

E-beam scope system detects nonvisual defects

Click here to enlarge image

The FS3000 EB-Scope system is an electron-beam (e-beam) inspection and metrology system that combines irradiation techniques with a mechanism for measuring pico-level substrate current flows to make nonvisual defects visible by measuring substrate currents instead of detecting secondary electrons. Device manufacturers can inspect high aspect-ratio contact and via structures, and detect failures such as residual oxide due to under-etch, breakthrough of the etch-stop layer due to over-etch, and residual polymer due to poor cleans. Fab Solutions Inc., Kanagawa, Japan; ph 81/44-812-5941, fax 81/44-812-5942, e-mail [email protected], www.fabsol.com.

Scanner images down to 65nm on 200 and 300mm wafers

Click here to enlarge image

The Twinscan XT:1250 is a 0.85NA, 193nm, volume-production lithography scanner that extends imaging to the 65nm node on both 200mm and 300mm wafers. The scanner features Ultra-k1 hardware and software that enables chipmakers to shrink circuit features through improved process latitude, depth of focus, and CD control. The XT:1250’s footprint has been reduced by 25% for a more compact support module. A 50% reduction in installation facility requirements such as power consumption; process cooling water; clean, dry air; and exhaust flow also provides a more cost-effective tool for chipmakers. ASML, Veldhoven, the Netherlands; ph 617/638-0022, [email protected], www.asml.com.

Inspection system finds defects as small as 30nm

Click here to enlarge image

The Surfscan SP2 wafer-surface inspection system provides a low cost-of-ownership wafer-substrate and process-tool qualification solution for the 65/45nm nodes, with extendibility to 32nm. Incorporating UV laser technology, darkfield optics, and advanced algorithms, the SP2 finds defects as small as 30nm on engineered substrates, such as silicon-on-insulator (SOI), strained silicon, and strained SOI, at throughputs of up to 5× greater than the previous Surfscan SP1 DLS. The laser emits at a wavelength that eliminates interference effects associated with traditional visible-wavelength inspection tools, and causes engineered substrates to behave like polished wafers during inspection, which increases inspection sensitivity and provides more consistent results. KLA-Tencor Corp, Surfscan Division, San Jose, CA; ph 408/875-0722, e-mail [email protected], www.kla-tencor.com.

System integrates SEM with automated FIB capability

Click here to enlarge image

The SEMVision G2 FIB defect-analysis system integrates SEM capability with automated focused ion-beam (FIB) cross-sectioning and energy-dispersive x-ray (EDX) analysis technology for high-speed, high-resolution defect review and analysis in one in-line production tool. The closed-loop system has the SEM and FIB in parallel, allowing various advanced imaging technologies, including multiperspective SEM, voltage contrast, high aspect-ratio imaging, and material analysis by EDX. Applied Materials Inc., Santa Clara. CA; ph 408/563-0647, e-mail [email protected], www.appliedmaterials.com.

Leak detector

Click here to enlarge image

The Adixen ASM 182 TD+ dry-leak detector features a dual-filament analyzer cell that measures sensitivity of 5.10-12mbar l/sec, and high helium-pumping speed (4.4 l/sec) for fast response time in spraying mode. All safety controls are integrated to allow the instrument to withstand accidental air-inrushes and severe shocks. The detector is suited for process chambers, loadlocks, vacuum-system maintenance, gas panels and lines, and mass flow controllers. Alcatel Vacuum Technology, Annecy, France; ph 33/4-50-65-78-75, fax 33/4-50-65-77-89, e-mail [email protected], www.adixen.com.

RF power/matching system

Click here to enlarge image

The RF Duo includes a nominally 3kW radio-frequency (RF) power source capable of 5kW peak output and an automatic impedance matching (AIM) system customized to the generator and the individual OEM process requirements. The system, with a demonstrated 44-month MTBF and 36-month warranty on the AIM, is targeted at critical plasma etch and deposition systems. Trazar Corp., Santa Clara, CA; ph 408/970-9501, e-mail [email protected], www.trazar.com.

Triangular seal

The TS Seal is designed to reduce the downtime of wafer dry-process systems by reducing twisting and migrating of the seal ring out of its sealing groove. The seal is triangular with a “key-in” groove that grips onto the outer circumference of the base, cutting misalignment from shock and friction by approximately 50%. Valqua America Inc., Santa Clara, CA; ph 408/986-1425, e-mail [email protected], www.valqua-america.com.

AFM system add-on

Orca is a module for performing conductive atomic-force microscope (AFM) measurements using the MFP-3D AFM system. The module measures current in the range of hundreds of femtoamps to nearly a microamp for characterizing materials such as dielectric films, ferroelectric films, nanotubes, and conductive polymers. Orca consists of a cantilever holder that includes a transimpedance amplifier, the gain of which can be chosen by the user (standard values from 5×107 to 5×109V/amp). Asylum Research, Santa Barbara, CA; ph 805/685-7077, fax 805/685-5007, e-mail [email protected], www.AsylumResearch.com.