Issue



Product News Semicon West 2004


07/01/2004







Wafer-cleaning system achieves 2???3 min cycle times

Click here to enlarge image

The Emersion 300 single-wafer cleaning system addresses particle removal, film loss, structure damage, and watermarks by using rapid single-wafer immersion processing with multiple chemical solutions to process each wafer in a single process chamber. The system is suitable for wafer fabrication steps including front-end-of-line critical cleans and etches and back-end-of-line cleans. It combines megasonics transducers with enhanced Marangoni drying to achieve cycle times of 2–3 min for a critical pre-diffusion clean vs. typical hour-long batch process times. Ultradilute chemistries and short process times help prevent film loss, and Emersion technology cleans and dries without bridging. SCP Global Technologies, Boise, ID; ph 208/685-3244, fax 208/685-4540, e-mail [email protected], www.scpglobal.com.

Flow controller provides point-of-use dispense

Click here to enlarge image

The NT integrated flow controller (IFC) is used in wafer-processing equipment including CMP and etch systems, bulk chemical delivery, and wet processing equipment. The IFC is designed for point-of-use chemical and slurry dispense systems, and on-demand chemical blending. With DeviceNet communications, it provides critical diagnostics, alarms, and preventive maintenance information for APC. The flow controller performs closed-loop flow control using direct flow and pressure measurement. Available in flow ranges from 5ml/min to 40 liter/min, it offers 1% accuracy and repeatability, quick flow-control response time, and flow measurement with no moving parts in a single compact component. Entegris, Minneapolis, MN; ph 763/528-4856, fax 763/502-0300, e-mail [email protected], www.entegris.com.

X-ray system aids viewing with filament-free tube

Click here to enlarge image

The XiDAT XD7600 provides oblique angle views of up to 70∞ for any position 360∞ around any point of the 458× 407mm inspection area. Precise manipulation allows the system to inspect all interconnections—ball, bond, and wire—on BGA and CSP devices. The viewing capability of the XD7600 platform is further enhanced with the Dage NT250 "filament-free" x-ray tube, coupled with standard active antivibration control, which provides feature recognition down to 0.25µm in a maintenance-free package. The operating system, Image Wizard (Version 11), offers a user-friendly point-and-click interface. Dage Precision Industries Inc., Fremont, CA; ph 510/683-3930, e-mail [email protected], www.dageinc.com.

Processing platform shows 300 hr reliability

Click here to enlarge image

The Endura2 is a 300mm platform for advanced PVD, CVD, and ALD processes, featuring a modular architecture for easy access and serviceability, 20% fewer parts, and process transparency for minimum re-qualification. This architecture reportedly decreases system manufacturing time by one-third, reducing order lead-time and shortening startup time in customer fabs. The platform includes high-speed XP dual-wafer robotic handling technology that boosts throughput, plus easily serviced component modules that enhance availability and contribute to the substantiated 300 hr reliability (MTBF) and <2 hr repair time (MTTR). Testing has also shown 45nm-level mechanical defect performance (<0.04 defects/cm2 at >0.09µm). Applied Materials Inc., Santa Clara, CA; ph 408/563-0647, e-mail [email protected], www.appliedmaterials.com.

Stud bumper bonds in a single pass

Click here to enlarge image

The WaferPRO plus is a high-speed, single-pass stud bumper that handles wafers up to 300mm and bonds 22 bumps/sec, depending on bump type, size, and pitch. It offers ±5µm positional accuracy at 3σ and can bump down to 65µm. The stud bumper offers large table travel to handle 12 in. wafers in a single pass. The WaferPRO plus kit is also available to provide a fast upgrade path for all existing WaferPRO customers. By installing the kit, which includes hardware, specialized servo code, and motion profiles, customers can increase their existing WaferPRO stud bumpers to 22 bumps/sec as well. Kulicke & Soffa Industries Inc., Willow Grove, PA; ph 215/784-6795, fax 215/784-6284, e-mail [email protected], www.kns.com.

Dicer uses cold laser process

Click here to enlarge image

Laser-Microjet is available as an automated dicing machine—the LDS200A—that handles wafers up to 200mm and dice-chip sizes down to 0.25× 0.25mm. The "cold laser" process uses a hair-thin water jet to guide the laser beam onto the wafer, functioning as a liquid optical fiber. The machine can operate at speeds up to 300mm/sec for 50µm-thick Si wafers. Unlike conventional laser cutting or scribing methods, the water jet cools the material and prevents depositions, leaving the wafer residue-free. To fix chips during dicing, a UV-tape, LaserTape, is used; to avoid being cut while dicing, it does not absorb the laser light, and allows the water jet to pass through. An optional megasonic or high-pressure water-jet cleaning station can be integrated. Synova SA, Ecublens, Switzerland; ph 41/21-694-35-00, e-mail [email protected], www.synova.ch.

Stepper has fully integrated subsystems for flexible operation

Click here to enlarge image

The Model 5200 PanelPrinter system provides advanced photolithography for large-area substrate applications requiring 0.8–4µm resolution. Fully integrated subsystems include a high-fidelity projection lens and illumination system, precision x-y stage, automated substrate-alignment system, automated reticle handling and storage system, and a suite of metrology sensors. A variety of lenses makes the system suitable for active matrix LCD, FED, OLED, polysilicon, and high-density interconnect applications. The system accommodates substrate materials from glass to PWB to plastic film. Optional equipment includes the EES-500 edge-exposure system for bulk resist removal, an automatic cassette-handling system, and a 38-reticle storage library. Azores Corp., Wilmington, MA; ph 978/657-7270, fax 978/658-6349, e-mail [email protected], www.azorescorp.com.

Inspection system finds defects as small as 30nm

Click here to enlarge image

The Surfscan SP2 wafer-surface inspection system provides a low cost-of-ownership wafer-substrate and process-tool qualification solution for the 65/45nm nodes, with extendibility to 32nm. Incorporating UV laser technology, darkfield optics, and advanced algorithms, the SP2 finds defects as small as 30nm on engineered substrates, such as silicon-on-insulator (SOI), strained silicon, and strained SOI, at throughputs of up to 5× greater than the previous Surfscan SP1 DLS. The laser emits at a wavelength that eliminates interference effects associated with traditional visible-wavelength inspection tools, and causes engineered substrates to behave like polished wafers during inspection, which increases inspection sensitivity and provides more consistent results. KLA-Tencor Corp, Surfscan Division, San Jose, CA; ph 408/875-0722, e-mail [email protected], www.kla-tencor.com.

Pump system targets energy reduction

Click here to enlarge image

The Zenith Etch is an integrated vacuum pump and abatement system designed for dielectric etch applications. It is available with up to four EPX500Z drypumps to meet requirements of 300mm etch tools in a reduced footprint. The system offers PFC abatement along with sub-TLV abatement of fluorine and acidic by-products. It reportedly reduces utility consumption and cost-of-ownership with the option of either the Zenith Etch Mini TPU inward-fired combustor or the Plasma low-power atmospheric plasma abatement module. The Mini TPU reportedly provides a 60% reduction in utilities consumption compared to the standard TPU, and the Plasma has a total power consumption of <2.5kW. BOC Edwards, Wilmington, MA; ph 978/658-5410, e-mail [email protected], www.bocedwards.com.

Analyzer measures without periodic test structures

Click here to enlarge image

The nondestructive 3300DR analyzer tracks across-wafer characteristics of designated DRAM structures using innovative hardware and proprietary software. Special periodic test structures are not required. The tool can also be incorporated into production equipment for in situ applications. It has a throughput of 78wph when used for making five film-thickness measurements on a 300mm wafer. Its patented, all-reflective optics ensure repeatable, reproducible, and noise-free data, even in the deep-UV range. This 200–300mm bridge tool has automated loading and unloading and supports SMIFs, FOUPs, and open-cassette load ports. n&k Technology Inc., Santa Clara, CA; ph 408/850-7315, e-mail [email protected], www.nandk.com.

Temporary bond material eliminates VOCs

Click here to enlarge image

The Aquabond series includes hot-water soluble thermoplastics used as temporary wafer-bonding material, eliminating volatile organic compounds in a wafer-polishing or wafer-dicing process. All products are virtually insoluble in cold or cool water, thus providing a strong bond in the presence of aqueous cutting lubricants and coolants. After work-cycle completion, the workpiece can be placed in hot water with a 2% solution of biodegradable cleaning agent and the thermoplastic goes to solution (100% water solubility at 80∞C). It can then be poured down the drain. Aquabond products are eco-friendly and biodegradable. Aquabond Technologies, Camarillo, CA; ph 805/383-4008, e-mail [email protected], www.aquabond.net.

Sorter performs multiple wafer-management tasks

Click here to enlarge image

Designed as a unified wafer-management system, the SPARTAN 300mm wafer-handling solution reportedly employs the minimum scale and complexity necessary to move wafers while delivering cleanliness with lower particle levels, compared to traditional sorters, which rely on integrating multiple off-the-shelf components. The sorter's simple, scalable architecture provides rapid integration with equipment and easy service and maintainability. SPARTAN is fully compliant with Semi standards and is available in multiple configurations. It features an optimized mini-environment with better than ISO Class 1 performance, and incorporates dual, ultrathin edge-grip wafer handling for "fast swap" wafer exchanges or movement of two wafers simultaneously. Asyst Technologies Inc., Fremont, CA; ph 510/661-5442, fax 510/661-5151, e-mail [email protected], www.asyst.com.

All-surface inspection system

Click here to enlarge image

A solution for high-speed, all-surface advanced macro wafer inspection and metrology for frontside, backside, and wafer edge detects contaminants, cracks, and other defects that can lead to large-scale contamination further in the process. The flexible capability of all-surface inspection in one system reportedly allows higher throughput and savings in fab automation, training, and space. Device manufacturers can customize a solution to their specific inspection needs with multiple configurations of front, back, and edge inspection. The tool is designed for deployment at bare wafer processing throughout the fab and at outgoing inspection, providing critical information about the entire wafer. August Technology, Bloomington, MN; ph 952/820-0080, fax 952/820-0060, e-mail [email protected], www.augusttech.com.

DUV metrology system

Click here to enlarge image

The NovaScan 3090 is a fully polarized DUV single-channel, high-throughput, integrated or standalone metrology system for 200–300mm manufacturing, with full support for 65nm. In CMP, the system can be used for thin-film thickness and slotted grating-site (line/space structure) measurements through spectrophotometry. It also provides optical CD measurement for etching through scatterometry. The 3090 addresses advanced STI applications, such as photoresist grating on the STI stack in photolithography, and STI trench in etching. Other applications include measurement and control of poly lines and photoresist on the poly stack, gate mask opening, metal grating, and contact/via applications. Nova Measuring Instruments Ltd., Rehovot, Israel; ph 972/8-9387505, fax 972/8-9407776, e-mail [email protected], www.nova.co.il

Thick-film photoresist

AZ 10XT photoresist is formulated especially for high-resolution applications, such as redistribution. It offers 4:1 aspect ratios with wide process latitude at film thicknesses of 10–20µm. This photoresist is free of perfluorooctyl sulfonate-generating ingredients, and can be exposed at broadband or i-line wavelengths. Clariant Corp., AZ Electronic Materials, Somerville, NJ; ph 908/429-3500, e-mail [email protected], www.azresist.com.

Convertible scanner

The TWINSCAN XT:1400 is a 0.93NA, 193nm scanner that images at the 65nm node in volume production environments, and can be used for pre-production testing and development at the 45nm node. The system's architecture and design provide the option of transitioning from "dry" to immersion lithography on the fab or factory floor through an "immersion conversion kit," available in 4Q05. The kit is comprised of three main parts: a lens for immersion, immersion-compatible wafer stages, and immersion infrastructure. ASML, Veldhoven, The Netherlands; ph 203/761-6300, e-mail [email protected], www.asml.com.

Sputtering targets

The patented ECAE technology is an advanced target process for Al and Al alloys. Targets processed with ECAE technology show superfine grain size, homogeneous microstructure, high mechanical strength, and controllable texture. Benefits of 300mm ECAE Al0.5Cu reportedly include an increase of >25% in processed wafers, target lifetime increased by >40%, low level of particles, and absence of arcing issues. ECAE process technology is applicable for via fill and blanket film for mainstream configurations. Honeywell Electronic Materials, Sunnyvale, CA; ph 408/962-2098, e-mail [email protected], www.electronicmaterials.com.

ATE system

The Maverick Lightning automated test equipment (ATE) system adds full dynamic analog test capability to the Maverick line for systems-on-a-chip (SoC) and systems-in-a-package (SIP) technologies: logic, memory, and analog test. The system incorporates a mixed-signal pin card (MSPC) that combines larger analog-tester capabilities onto a single PC board, allowing users to add analog capability to a standard Maverick system or configure a system with multiple MSPC boards for high-volume parallel testing. Nextest, Sunnyvale, CA; ph 858/484-9065, e-mail [email protected], www.nextest.com.

Manufacturing execution system

The third-generation manufacturing execution system (MES) FACTORYworks3 provides modeling, process planning, wafer tracking, data collection and analysis, and equipment monitoring/dispatching. The system supports collaborative manufacturing and supply chain execution via a Web services and thin client framework in real time. It is reportedly the first MES to provide integrated equipment-maintenance management, corrective/preventive action, and durables management. The system has been designed to lower cost-of-ownership while shortening cycle times, improving yields, and reducing operator error. Brooks Automation, Chelmsford, MA; ph 978/262-2459, e-mail [email protected], www.brooks.com.

ALD valve

Click here to enlarge image

The ALD series valve is an ultrahigh-purity, pneumatically actuated valve for application in atomic-layer deposition (ALD) processes, featuring valve actuation times <5 msec and a cycle lifetime exceeding 25 million cycles during product evaluation. The patent-pending flow setting feature enables consistent delivery ofprocess gas. Manufactured from 316L VIM/VAR stainless steel, the valve body is available with 1/4 in. VCR and 1/4 in. and 6mm tube butt-weld end connections. Available options include a high-temperature model—rated for temperatures up to 200∞C—as well as multiport, multivalve manifold, and modular surface-mount configurations. Swagelok Co., Solon, OH; ph 440/349-5934, e-mail [email protected], www.swagelok.com.

Mini-environment and ECU

Turnkey mini-environment and environmental control unit (ECU) solutions for advanced semiconductor applications are completely custom-designed around customers' process needs and can be fabricated in a variety of metals, such as powder-coated steel or aluminum, stainless steel #4 or stainless steel #8 mirror finishes. The independent ECU integrates all primary functions and controls into a freestanding, small-footprint package. The ECU can control temperature to ±0.008∞C and RH to ±0.5% at airflows up to 5000cfm. Cleanroom Systems, No. Syracuse, NY; ph 800/825-3268, e-mail [email protected], www.cleanroomsystems.com.

Batch sputtering system

The LLS EVO II batch sputtering system has five sources that can be configured for sputtering options that include DC, RF, RF/DC, pulsed DC, and co-sputtering. Batch-to-batch uniformity for various materials ranges from ≤0.5–≤1.0, with a capacity of 36 4-in. substrates, 12 6-in. substrates, or 9 8-in. substrates. The system offers optional automatic cassette-to-cassette handling for GaAs wafers, and can be set up as a fully automated turnkey system with a user-friendly Windows NT-based controlling system. Unaxis Wafer Processing, St. Petersburg, FL; ph 727/577-4999, 727/577-7035, e-mail [email protected], www.waferprocessing.unaxis.com.

ICP etching system

Click here to enlarge image

The GroovyICP SE-4000 narrow-gap ICP etcher is a 200/300mm, high-density plasma etching tool with a narrow discharge-gap configuration similar to capacitive RIE etchers. The tool exhibits low-pressure performance down to 1mtorr, a gas pressure range up to a few torr, discharge stability and uniformity, and damage-free plasma. It is suitable for critical and noncritical applications, and shows production-worthy results in deep oxide etch with high selectivity to resist and multilayer dual-damascene structures including organic or inorganic low-k materials in one multistep process chamber. The tool also has an in situ free-radical self-cleaning function. FOI Corp., Kawasaki, Japan; ph 81/44-980-1150, e-mail [email protected], www.foi.co.jp.

X-ray metrology tools

Click here to enlarge image

The entire JVX 4x00 and JVX 5x00 series of x-ray metrology tools incorporates microspot x-ray fluorescence (XRF) and/or fast x-ray reflectance (XRR) technology. The JVX 4x00 series is designed for blanket wafers, while the JVX 5x00 series measures both blanket and patterned wafers. The JVX 4000 and JVX 5000 are microfocus x-ray fluorescence (XRF) spectrometers for applications such as elemental analysis, film-thickness measurement, and void detection. The JVX 4100 and JVX 5100 fast x-ray reflectometers (XRR) measure film thickness, density, and roughness, and characterize single layers and multilayer stacks; high- and low-k materials; and amorphous, poly-crystal and single-crystal films. The JVX 4200 and JVX 5200 combine small-spot XRR and XRF to cover a range of applications from ultrathin to micron-thick. Jordan Valley Semiconductors Inc., Austin, TX; ph 512/832-8470, fax 512/973-9282, e-mail [email protected], www.jordanvalleysemi.com.

Dual-range MFCs

Click here to enlarge image

Dual-range mass flow controllers (MFC) improve accuracy of gas flow control at both low and high flow rates required in the semiconductor manufacturing process. To reduce gas line cost and address problems caused by multiple MFCs, a single MFC provides equal, precise, reliable control at both flow rates used in multiple applications. Because a single gas line is used, overshoot and time lag associated with gas line changeover are eliminated, improving yield and throughput. Gas-delivery module footprint, manufacturing cost, and support inventory requirements reportedly are reduced. Hitachi Metals America Ltd., San Jose, CA; ph 800/309-1803, e-mail [email protected], www.hitachiflowcontrol.com.

In-line chemical monitor

Click here to enlarge image

The WetSpec100 is a noncontact in-line monitor for the chemical composition of liquids. Its noninvasive measurement removes all risk of contamination, and results are available in real time, allowing for closed-loop control. All processes are streamlined because there is no chemical sampling or need to supply chemicals and remove chemical waste. The monitor also analyzes physical properties of slurry liquids, and can be integrated into various wet applications such as slurry health monitoring in CMP slurry blending and distribution systems, cleaning and wet etching stations, bath control in electroplating systems, and chemical waste management. CI Semi, Palo Alto, CA; ph 650/424-0682, e-mail [email protected], www.ci-semi.com.

Substrate-processing tool

The TALON300 is a nonlinear, automated substrate-processing tool designed for pilot line and small-scale production and process development for MEMS, semiconductor manufacturing, and optoelectronics-fabrication applications. The Semi and CE-certified system is optimized for 200–300mm wafers, with a multiaxis cylindrical coordinated robot and PC-based (Windows) controller. The spin module, with a 0–4000rpm spin range and ±1rpm repeatability with 1rpm resolution, includes an ETFE polymer-coated spin bowl, programmable exhaust standard, and optional scanning arm dispense. Brewer Science, Rolla, MO; ph 572/364-0300, fax 573/364-9513, e-mail [email protected], www.brewerscience.com/cee.

300mm PVD system

Click here to enlarge image

The MD(x) Series of PVD systems enables gains in yield and tool ROI by delivering high-quality films and high throughput required for emerging IC PVD applications. PVD cathode geometries are optimized to provide metallurgical uniformity across 300mm wafers, without resorting to yield-damaging high temperatures or high RF bias. Advanced material-tuned target designs reduce roll-off while extending target life, allowing more wafers processed between monitors and maintenance. Wafer-transfer and vacuum-technology enhancements allow wafer throughput of >50wph. An ultrahigh vacuum environment offers increased PVD film adhesion and stress control. Tegal, Petaluma, CA; ph 707/765-5613, e-mail [email protected], www.tegal.com.

E-diagnostics suite rental framework

eCentre Hosted allows fabs and OEMs to rent an e-diagnostics application suite on a tool-by-tool basis. The main hardware-and-software framework is located within a secure hosting environment identical to those used by financial institutions. These hubs connect to scribing fabs and OEMs with a minimal amount of on-site framework. The service uses the latest version of eCentre that includes Flexible Security Wrapper (FSW), which improves e-diagnostics security from a "connection approved" to a "connection and context approved" basis, and Data Collection Plan Manager (DCPM), the ability to change data-collection plans dynamically. ILS Technology, Boca Raton, FL; ph 802/985-5400, e-mail [email protected], www.ilstechnology.com.

Within-wafer metrology system

Click here to enlarge image

INtegrated Wafer, a complete, wireless, low-profile temperature metrology system within a wafer, gathers data throughout an entire process. It is suitable for prober hot plates, photoresist track systems, steppers, and copper annealing. Analysis of static and dynamic temperature measurements helps chip and equipment manufacturers to ensure temperature uniformity in their critical semiconductor processes without modifying equipment or disrupting production, reportedly increasing device performance and manufacturing output. SensArray Corp., Fremont, CA; ph 510/360-5600, e-mail [email protected], www.sensarray.com.

CD measurement system

Click here to enlarge image

The automated INNOVA-800AV system performs critical dimension (CD) measurement of subresolution features on photomasks. Measurement accuracy is ≤0.002µm with 3σ repeatability below 1.5nm. The system achieves measurement accuracy and repeatability by measuring the amount of light blocked by opaque areas or transmitted by clear areas of the photomask; it measures a wide range of CDs, such as defects, contacts, pitch, corner rounding, OPC patterns, intrusions, extrusions, and other features from 0.2–200µm. The system uses AutoIllumination to pre-program the lamps for each measurement and to precisely control the lamps to provide consistent image brightness for optimum edge contrast and repeatability. Micro-Metric Inc., San Jose, CA; ph 408/452-8505, e-mail [email protected], www.micro-metric.com.

Process chambers

The Raider M series offers high-performance process chambers in an automated platform scaled for pilot line, R&D, or low-volume production installations. Single or dual chambers are capable of processing 150–300mm wafers and are served by a single robot. Raider M can be configured for surface-preparation, ECD, or advanced-packaging applications. The Windows XP-based controller offers advanced process control features and full networking capabilities. Semitool Inc., Kalispell, MT; ph 406/758-7540, e-mail [email protected], www.semitool.com.