Issue



Product News


06/01/2004







Combo system based on ACT line

Click here to enlarge image

The CLEAN TRACK ACT M photomask resist coater/developer is targeted for 6025 substrate processing at the 90–65nm nodes. Three modules are incorporated into one system: a photomask developer, a photomask resist coater, and a photomask (PEB) baker. The system, based on TEL's CLEAN TRACK ACT platform, provides more advanced processing control and techniques for OPC, phase-shifting, and chemically amplified resists, resulting in improved within-mask and mask-to-mask process uniformity and reduced defects. Tokyo Electron Ltd., Tokyo, Japan; ph 81/3-5561-7406, e-mail [email protected], www.tel.com.

Step-and-repeat system has lens NA = 0.92

Click here to enlarge image

The NSR-S308F step-and-repeat system is a lens-based scanning ArF excimer laser stepper for mass production of devices at 65nm and below. The system is reportedly equipped with the highest NA projection lens (NA = 0.92) supporting an ArF excimer laser (193nm wavelength), resulting in higher-resolution imaging than in previous models. Other improvements include a 25% increase in throughput — of 140 300mm wafers/hour — and 44% improvement in alignment accuracy with the low-aberration lens, reaching the 8nm level. The system has an exposure area of 26×33mm, and a reduction ratio of 1:4. Nikon Precision Equipment Co., Tokyo, Japan; ph 81/3-3216-1030, fax 81/3-3216-1052, e-mail [email protected], www.nikon.co.jp.

Macrodefect team provides real-time inspection

Click here to enlarge image

The WaferView team of macrodefect inspection tools combines three products to offer real-time inspection of every wafer at each step in the lithography or CMP process. The i-MOD module's detection and classification algorithms automatically adapt to normal wafer-to-wafer and lot-to-lot process variations, without affecting process-tool throughput. The 320 standalone system has an onboard high-resolution ReviewScope that inspects all sides and edges of the wafer, providing defect analysis and dispositioning of wafers by yield engineers to eliminate the need for a separate, offline review station. The YieldView server coordinates the equipment team by collecting critical defects in a common-knowledge base and acting as a recipe server. Rudolph Technologies Inc., Flanders, NJ; ph 973/691-1300, e-mail [email protected], www.rudolphtech.com.

Single-wafer platform provides noncontact clean

Click here to enlarge image

The Goldfinger Mach2 HP four-chamber single-wafer cleaning system is a production-ready product suited to a variety of wafer-surface prep applications. It can be configured for FEOL post-ash cleaning, post-deposition and other particle cleans, as well as for BEOL post-ash/etch cleaning applications on both aluminum and copper integrated devices. Goldfinger Megasonics enable particle removal without etching for cleaning sensitive structures. Integrated Sahara Dry technology provides watermark-free surface-tension gradient drying. The four process chambers have integrated splashguards and bowl seals and allow sub-90nm particle removal with minimal 2mm edge exclusion. The system can operate in single pass or reclaim mode to minimize chemical waste and cost. Akrion, Allentown, PA; ph 714/445-2237, e-mail [email protected], www.akrion.com.

Scanning microscope designed for submicron imaging

Click here to enlarge image

The LEXT confocal laser scanning microscope has 0.12µm resolution, 3D measurement capability, and magnification power from 120–14,400× to meet requirements for submicron imaging. A 408nm laser is combined with optics to optimize image quality and limit aberrations at this wavelength. Both video and laser confocal imaging modes offer brightfield, darkfield, and differential-interference contrast microscopy. LEXT also can simultaneously image samples in 3D with true color by combining the laser 3D image with the full-color brightfield image in the system computer. Olympus Industrial America Inc., ph 866/642-4725, e-mail [email protected], www.olympusmicroimaging.com.

Copper capillary produces bonds comparable to gold

Click here to enlarge image

The CuPRA copper capillary is engineered for various types of IC bonding, and can be used in a range of applications from fine-pitch wire bonding of more than 500 I/Os to low pin-count devices. The capillary can handle copper wire from 0.8–3.0mil thicknesses at a bonding performance comparable to gold bonds on a variety of pad materials, and exhibits lower intermetallic growth rates and improved wire-looping consistency due to its higher stiffness. Kulicke & Soffa, Willow Grove, PA; ph 215/784-6795, fax 215/784-6284, e-mail [email protected], www.kns.com.

EFEM has self-teaching capability

Click here to enlarge image

The Performix fabrication equipment frontend module (EFEM) features an Automated Self Teach wafer-handling robot, which enables the system to be installed and operated without extensive operator training, and minimizes initial startup time. An operator selects preprogrammed locations for the loadport, prealigner, and tool, and the optical, through-beam wafer-locating system automatically registers the locations, calibrating the robot. The Performix forms an isolated mini-environment between the process/metrology tool and FOUP or SMIF wafer carrier. Newport Corp., Irvine, CA; ph 949/863-3144, fax 949/253-1800, e-mail [email protected], www.newport.com.

AFM offers hands-free operation

Click here to enlarge image

The AF-LM 300 system is an atomic-force line monitor (AFM) for measuring depth and surface planarity of frontend structures at the 90nm node and below. The system provides direct, nondestructive, within-die AFM measurements at production-level throughputs for monitoring FEOL processes and helping chip manufacturers achieve optimal transistor performance. It includes an advanced pattern-recognition system based on the Archer 10 overlay metrology platform. Proprietary image-enhancement algorithms enable improved statistical control of trench depths inline. AFM tip replacement has been simplified to hands-free operation with prequalified, preloaded cartridges of self-sensing tips exchanged entirely by automation under recipe or host control. KLA-Tencor, San Jose, CA; ph 408/875-5473, fax 408/875-4144, e-mail [email protected], www.kla-tencor.com.

Transducer minimizes convection effects

Click here to enlarge image

The HPS Series 925C MicroPirani transducer is a MEMS-based thermal conductivity gauge that features a pressure-measurement range from 10-5torr to atmosphere — two decades below a standard Pirani sensor. The sensor element is made of a 1mm2 silicon chip, allowing the measurements to be made in a very small volume. The design minimizes the effects of convection, and can be mounted in any position while maintaining accuracy. The Series 925C is gas-type sensitive, with a number of common gas calibrations. MKS Instruments, HPS Products, Boulder, CO; ph 303/449-9861, e-mail [email protected], www.mksinst.com.

Quick-release weld system

Click here to enlarge image

The Model 4-500 benchtop head is a fully integrated tube weld head system for high-production bench welding of short stick-out fittings, tubes, tube-to-valve bodies, and other similar assemblies. It is suited for cleanroom aseptic welding of components for the semiconductor, biopharmaceutical, aerospace, and other industries. The 4-500 can be used by itself or with a variety of stands and blocks to support valves, regulators, and tubing during welding. The quick-release tooling is both sturdy and accurate, with a solid grip on the items to be welded. Arc Machines Inc., Pacoima, CA; ph 818/896-9556, fax 818/890-3724, e-mail [email protected], www.arcmachines.com.

Drive-level control concept

A decentralized front- and backend control concept provides diagnostics local to each device, which allow machine service without disrupting the entire production process. It also reduces the load on the central processor, requires less cabling, and provides greater reliability because feedback cables don't have to be routed back to the central device. Frontend deposition, etch, and implant processes have applications for decentralized control; on the back end, it can be used with high numbers of independent axes. Bosch Rexroth Corp., Hoffman Estates, IL; ph 847/645-4073, fax 847/645-6210, e-mail [email protected], www.boschrexroth-us.com.

Servomotors with bearing-less design

Click here to enlarge image

Kollmorgen CARTRIDGE DDR direct-drive rotary servomotors combine the performance of frameless direct-drive motors with the ease of installation of a full-frame motor. CE-marked and UL-listed for global application, these motors feature pre-engineered components; an integrated, factory-aligned, high-resolution feedback device; and a bearing-less design that facilitates "mount and run" operation in <30 min. The motors eliminate all mechanical transmission parts, reportedly resulting in simplified installation, increased machine uptime, quieter operation, increased accuracy and zero maintenance. Danaher Motion, Wood Dale, IL; ph 866/316-8437, e-mail [email protected], www.danahermotion.com.

Fast flip-chip bonding platform

Click here to enlarge image

The 8800 FC Quantum platform reportedly enables the highest throughput available in a single machine for a variety of flip-chip applications. The dual bonding system (DBS) executes individual bonding steps in parallel. It consists of two flip modules, two gantries, two slide fluxers, and two upward-looking cameras. A sophisticated "anticollision arbiter" controls axis movement. The DBS enables the bonder to simultaneously achieve up to 10,000 uph throughput (dry cycle) and maintain constant, secure process times and 10µm @ 3 sec placement accuracy. Datacon Technology AG, Trevose, PA; ph 215/245-3052, e-mail [email protected], www.datacon.at.

Organic-based die-attach products

Click here to enlarge image

The DA-7000 and DA-8000 Series die-attach product line is based on organic technology that will target both lead frame and array packages for semiconductors, especially 3D stacked die packaging and board-on-chip devices. These die-attach products are based on several organic chemistries and hybrid systems. Process options such as printing, dispensing, and flexible cure schedules are available. Specific properties around Tg, modulus, low moisture, electrical, or nonconductive high thermal performance will be offered to address packaging issues such as voiding, die cracking, and delamination experienced in packages under Pb-free conditions. Dow Corning Inc., Midland, MI; ph 617/868-0364, e-mail [email protected], www.dowcorning.com.

Troubleshooting tool set

Click here to enlarge image

The TS3 300mm station is suited for use in wafer rescue applications, but is also an alternative to expensive sorting platforms. The tool set consists of an automatic FOUP opener, an automatic low-contact wafer-transfer machine, and a portable vacuum wand. The FOUP opener removes and secures the FOUP door at the touch of a button. The transfer machine can automatically mass-transfer wafers between various combinations of FOUPs and/or FOSBs. The tool includes a wafer mapper to insure wafer safety. H-Square Corp., Sunnyvale, CA; ph 408/734-2543, fax 408/734-1132, e-mail [email protected], www.h-square.com.

Sensor takes real-time measurements

Click here to enlarge image

The TDM-200 sensor measures absolute trench depth in real time for MEMS applications. This on-line tool can be used during Bosch etch and deposition cycles without synchronization signals from the etch tool, and without having to calculate etch depth from an extrapolation of etch rate. The TDM-200 is based on a patented twin-spot interferometric camera, which measures the trench depth from the phase shift generated between two laser spots. Jobin Yvon Inc., Edison, NJ; ph 732/494-8660, fax 732/494-8796, e-mail [email protected], www.jobinyvon.com.

Stability mounts outgas <1ppm

Click here to enlarge image

A line of optic mounts includes special top (Model 9814) and center mounts (Models 9816 and 9917) with a retention system to optimize stability while minimizing wavefront distortion, ensuring that the mirrors are truly flat and solid. Mirror installation and removal is simple and fast, without adhesives. Both lab versions as well as ultraclean OEM versions are available. These products have been tested and certified to outgas <1ppm of volatile mass at 85°C over 3 hr. New Focus, San Jose, CA; ph 408/919-1526, fax 408/919-6083, e-mail [email protected], www.newfocus.com.

Compact guidance system

The MINIMODULE is a compact linear guidance system with a miniature ball guideway (MINIRAIL) and integrated tooth belt drive that allow a compact design. Both the rail and carriage are manufactured from corrosion-resistant stainless steel, as is the main structure of the modules. The system is available with options including integrated limit switch, cover strip, and a bottom-mounted motor flange (top mounting is standard). A maximum length of 1142mm is available and a maximum stroke of 886mm is possible, with maximum speeds of 5m/sec. Schneeberger Inc., Bedford, MA; ph 781/271-0140, fax 781/275-4749, www.schneeberger.com.

Spectrometer measures down to ≤10ppm

The OmniStar mass spectrometer continuously monitors gas output for toxic and greenhouse gases, and can measure up to 64 different gases simultaneously in concentrations from 100% down to ≤10ppm. Omnistar monitors the gas output of the scrubber/abatement system to be sure that it is operating properly and is cleaning up the desired exit gases. If a gas concentration is outside of specified limits, an out-of-range warning is noted so corrective action can be initiated. Pfeiffer Vacuum Inc., Nashua, NH; ph 603/578-6500, fax 603/578-6550, e-mail [email protected], www.pfeiffer-vacuum.com.

Monitor collects data in real time

The AiM-200 molecular contamination monitor checks contamination on critical surfaces such as optics or wafers, where haze formation problems are caused by organic condensables in purge gases or in the ambient environment. Two sensors monitor two locations simultaneously, with active temperature control to maintain a consistent operating environment, storage of up to 50,000 samples, and sample intervals as fast as 5 sec. Detection of mass depositions of 0.003ng/cm2/Hz is possible. Particle Measuring Systems, Boulder, CO; ph 303/443-7100 ext. 258, e-mail [email protected], www.pmeasuring.com.

USJ metrology tool probes without damage

The SSM 250 and 2500 metrology tools measure sheet resistance of ultrashallow-junction (USJ) source/drain implants using EM probe technology. The nonpenetrating, nondamaging four-point probes are made from a conductive elastic material, without mercury, and are not contaminating. The probes can be used to measure USJ implants with depths <10nm. The 250 is for off-line process development and measures wafers ≤300mm; the 2500 tool measures 200mm and 300mm in-line. Solid State Measurements Inc., Pittsburgh, PA; ph 412/787-0620, fax 412/787-0630, www.ssm-inc.com.

Etch tool with spin process

Click here to enlarge image

The Galileo GL-210 substrate-etch tool uses a wet, single-wafer spin process technology that performs delicate wafer thinning, stress relief, and wafer conditioning. The system is equipped with an advanced Bernoulli handling system that reduces breakage for wafers down to 25µm thickness. The GL-210 can be operated in-line or as a standalone tool, and can process bumped wafers. SEZ America Inc., Phoenix, AZ; ph 602/437-5050, fax 602/437-4949, e-mail [email protected], www.sez.com.

Transducer uses 100% digital architecture

The Type 890 Series Baratron compact digital pressure transducer for gas delivery applications is designed to meet the needs of OEMs and gas suppliers who require a compact digital transducer at a lower cost. The 890 Series can be used in the smallest gas panels and cabinets on the market today, particularly in systems that use 1.125-in. surface mount design. This Baratron product is reportedly the first pressure transducer for the semiconductor manufacturing industry that uses 100% digital architecture. MKS Instruments Inc., Wilmington, MA; ph 978/284-4050, fax 978/284-4448, e-mail [email protected], www.mksinst.com.

AFP provides flexible scanning options

The Multiscan atomic-force probe (AFP) quickly and repeatedly measures electrical performance characteristics of 90nm and 65nm transistors. The AFP uses multiple specialized atomic-force microscope heads, controlled by patent-pending Multiscan software, to locate a failing transistor and contact closely spaced terminals. Optional scan modes such as mapping and high-frequency probing provide location, characterization, and probing of failing devices. Multiprobe, Santa Barbara, CA; ph 805/560-0404, fax 805/560-0414, e-mail [email protected], www.multiprobe.com.

Wire bonder shows placement >5??m

Click here to enlarge image

The Model 8000 gold ball-and-stitch thermosonic wire bonder performs precision gold wire bonding for flexibility, ease of programming, and high throughput in complex multichip applications, high I/O count devices, and gold ball bumping for flip-chips. The 72 in.2 work area and rectilinear z-stroke of 20mm bonds large substrates in one pass. Dual handling systems maximize throughput with targeting and wire placement of >5µm. Palomar Technologies, Vista, CA; ph 760/931-3440, e-mail [email protected], www.bonders.com.