Semicon West 2005 Product Panorama
07/01/2005
Inspection tool extends to critical etch layers
|
The Puma 9000 tool series provides scattering physics with high-resolution imaging to deliver highly sensitive patterned-wafer inspection without sacrificing throughput. Its Streak technology combines a linear multipixel sensor with a high-capacity imaging computer to break through traditional limitations in darkfield detection capacity. Designed for sub-90nm nodes, the Puma 9000 can be extended beyond traditional laser scattering applications to some critical etch layers, complementing brightfield technology for a cost-optimized inspection solution. Flexible configurations are available for specific applications. KLA-Tencor Corp., Wafer Inspection Div., San Jose, CA; ph 408/875-1473, e-mail [email protected], www.kla-tencor.com.
Single-wafer ion implanter addresses 65nm node
|
The Optima MD single-wafer ion implanter platform provides high productivity for mid-dose implant applications at the lowest energy ranges, especially high-tilt HALO processes. The system’s energy range of 500eV-750keV allows well and channel implants to evolve while still achieving high productivity for more conventional applications. It reportedly delivers quad throughput at >50wph for a 1×1014 boron implant at 2keV with good uniformity
epeatability and undetectable energy contamination. The Optima MD can run production as well as advanced R&D on devices at 65nm and beyond. Axcelis Technologies Inc., Beverly, MA; ph 978/787-4273, e-mail [email protected], www.axcelis.com.
System images defects with sub-30nm sensitivity
|
The UVision inspection system reportedly allows chipmakers to find critical defects that have been previously undetectable with other brightfield inspection systems. Featuring laser-based 3D ultrabrightfield technology, the system combines multibeam laser illumination, PMT detectors, and simultaneous dual-channel imaging to deliver sub-30nm sensitivity at production throughput. This optical design and the system’s inspection algorithms are some of the key elements that enable UVision to detect a variety of yield-limiting defects in a single wafer scan without compromising throughput. Applied Materials, Process, Diagnostics, and Control Business Group, Santa Clara, CA; ph 408/563-0647, e-mail [email protected], www.appliedmaterials.com.
System integrates etching and metrology for 65nm and below
|
The Telius SP integrated etch system addresses the 65nm node and beyond. Integration enables an etch reactor with integrated metrology to offer wafer-by-wafer measurement for critical dimension (CD). It also provides profile information for fault detection and process control for advanced dielectric and polysilicon etch requirements. Manufacturing cycle time is optimized by conducting metrology measurements in parallel with etching processes. The metrology module is integrated in a FOUP position, eliminating the need for additional floor space and allowing easier maintenance without interrupting the tool, as well as single-point connection to the fab network. Tokyo Electron Ltd., Austin, TX; 512/424-1000, www.tel.com.
XRD enables detection of defects on surface and wafer bulk
|
The BedeScan digital x-ray inspection tool identifies and quantifies structural defects in semiconductor wafer substrates and epilayers up to 300mm. The tool uses nondestructive x-ray diffraction (XRD) to locate a wide range of anomalies, including thermal slip dislocations, edge damage, and other crystallographic defects. It is suitable for high-volume manufacturing applications, delivering quantitative data that enables in-line statistical control of manufacturing processes. It can image wafers in reflection and transmission modes, providing information about defects in the surface region and bulk of wafers. User-friendly software offers customizable speed, resolution, and scanning range. Bede plc, Durham, England; ph 978/794-5441, e-mail [email protected], www.bede.com.
Open-architecture tester addresses cost and space with smaller SoC platform
|
The T2000 test platform has incorporated the smaller MS mainframe, a 125MHz high-speed digital test module, and a 500mA multiple power-supply module for testing mid-range SoC devices. It uses test modules that perform high-speed test and very large device-count parallel test on consumer-oriented devices, reportedly at 40% reductions in cost and overall required floor space when compared to the mainframe for the high-end model. The number of site CPUs controlling various functions has been optimized to a single unit. The mainframe and test head can be combined with other OpenSTAR-compliant test modules to assemble optimal configurations. Advantest America Inc., New York, NY; ph 408/977-7700, e-mail [email protected], www.advantest.com.
System uses darkfield and brightfield for edge and backside inspection
|
The Reflex MC EBI is a wafer-inspection system for combined edge and backside defect inspection on unpatterned 300mm wafers. Two metrology modules are housed in one ultracompact MetriCube enclosure with its own mini-environment and two loading slots. The backside inspection module uses scattered light detection with diode-laser darkfield illumination for defect sensitivities on hazy backside surfaces down to 0.2µm. Its patent-pending upside-down configuration enables backside inspection without the need to flip the wafer. The edge-inspection module applies CCD technology with darkfield and brightfield LED illumination for defect detection on the whole edge down to 5µm. NanoPhotonics AG, Mainz, Germany; ph 49/6131-95854-405, e-mail [email protected], www.nanophotonics.de.
Macrodefect tool reduces inspection time/wafer to ~30 sec
|
The WaferView 320 Turbo macrodefect inspection tool offers ultrahigh-throughput inspection of all wafer surfaces, providing manufacturers the opportunity to recover more wafers before they undergo irreversible processing steps. Each dual inspection module can independently and simultaneously scan the entire front surface of a 300mm wafer for defects ≥20µm in ~30 sec. The on-board ReviewScope drives to the defect location identified by an i-MOD system for analysis, eliminating transportation and queuing delays between stand-alone inspection
eview tools. For 300mm fab operation, the Turbo has four wafer-load ports that allow it to act as a wafer FOUP buffer and also help minimize delays. Rudolph Technologies Inc., Flanders, NJ; ph 973/691-1300, e-mail [email protected], www.rudolphtech.com.
Integrated flow controller
|
The NT integrated flow controller (IFC) Model 6510 provides automated closed-loop flow control with a small footprint for point-of-use chemical blending and dispense applications. The IFC uses its reduced footprint to save space in liquid handling systems and allow toolmakers to integrate more process functionality. It uses differential-pressure flow measurement technology and advanced closed-loop process control. Visual indicators provide diagnostics for preventive maintenance, troubleshooting, and alarm conditions, enabling users to maintain high uptime. Entegris Inc., Chaska, MN; ph 952/556-4155, [email protected], www.entegris.com.
Defect data-analysis solution
DMS Decision software manages and analyzes all-surface, advanced macrodefect data. Automated wafer-level defect classification (ADC), automated wafer dispositioning, and patented sampling strategies, combined with all-surface management and data analysis, allow process engineers to determine the origin of a defect; correlate front, edge, and backside defects; and optimize tool utilization. Analysis excursions and reports can be communicated automatically via e-mail in reports. August Technology Corp., Minneapolis, MN; ph 952/820-0080, e-mail [email protected], www.augusttech.com.
Process-equipment leveling device
The WaferSense ALS is a wireless, wafer-like leveling tool that enables fab engineers to quickly and easily measure the levelness and coplanarity of wafer-processing equipment and make necessary adjustments. Thin and lightweight, it is handled just like a wafer, and does not require equipment disassembly or disruptions to vacuum chambers, so calibration time is reportedly decreased by 80% or more. The device provides pitch and roll measurements accurate to ±0.03° that can be logged to relate coplanarity with yield and determine the best tool adjustments for highest yields. It is available in 300, 200, and 150 packages. CyberOptics Semiconductor Inc., Beaverton, OR; ph 503/495-2200, e-mail [email protected], www.cyberoptics semi.com.
CMP outsourcing services
A chemical mechanical planarization (CMP) foundry offers services for all materials and wafers up to 200mm, from product prototyping to high-volume manufacturing. Services rendered have included outsourced CMP production for IDMs; development and testing for CMP consumables developers; advanced substrate surface finishing; wafer thinning; and prototyping and production for photonics, optoelectronics, MEMS, and nanotechnology applications. Entrepix Inc., Tempe, AZ; ph 602/426-0544, e-mail [email protected], www.entrepix.com.
Filter for semiconductor processes
|
The Lithoguard-12 cabinet filtration system provides SO2 control for ArF scanners, filtering ambient chemistry down to parts-per-trillion. High-performance AMC filtration was validated in independent testing. The system features two-stage adsorbent chemical filtration for removal of acidic and basic gases and condensable organics; HEPA particulate filtration; a small footprint of 785×908mm, and a height of 1850mm; and an all stainless-steel cabinet. Donaldson Co. Inc., Minneapolis, MN; ph 952/887-3930, e-mail [email protected], www.donaldson.com.
Contamination-monitoring tools
A new generation of surface photovoltage (SPV) tools allows measurements of Fe contamination down to <1×108cm-3 levels. Entire Fe monitoring is done using appropriate illumination cycles without contacting the wafer. Applications include QC of prime wafers and high-temperature process steps, such as diffusion and epi. Measurements are noncontact and preparation-free, and include the possibility to use edge-grip wafer handling for fully contactless contamination monitoring. Semiconductor Diagnostics Inc., Tampa, FL; ph 813/977-2244, e-mail [email protected], www.sditampa.com.
Contamination analyzer
|
A photochemical organic contamination (POC) analyzer continuously monitors contaminants such as nonmethane hydrocarbons and siloxanes and provides real-time results. With detection limits in the low parts-per-billion range, the analyzer can detect contaminants before they cause irreversible harm to optical surfaces or systems. It can be combined with a molecular contamination analyzer to detect other compounds, such as ammonia, total amines, total acids, or sulfur dioxide. High stability decreases maintenance frequency and cost. Molecular Analytics, a division of Particle Measuring Systems, Sparks, MD; ph 410/472-7300, e-mail [email protected], www.pmeasuring.com.
Package assembly work cell
The MRSI-M5 assembly work cell provides advanced assembly solutions for complex epoxy die attach and eutectic and flip-chip bonding, in the semiconductor and electronic packaging markets. The entire machine base is formed of a cast polymer composite specifically engineered for its thermal stability and vibration dampening properties. Advanced features include “feather touch” force control combined with closed-loop force feedback to handle delicate devices, such as GaAs and InP, without damage to internal die features. Newport Corp., Irvine, CA; ph 949/863-3144, e-mail [email protected], www.newport.com.
Mass flow controller
The Aera PI-980 series pressure-insensitive mass flow controller (MFC) is suited for etch, CVD, PVD, and diffusion applications. Its design integrates traditional thermal flow technology with a pressure sensor, temperature sensor, and patented NeuralStep control algorithms, creating a single delivery package and eliminating the need for the costly gas-panel components traditionally used to perform the same function. Integrated diagnostics increase system uptime and make troubleshooting easier. Advanced Energy Industries Inc., Fort Collins, CO; ph 897/407-6280, e-mail [email protected], www.advanced-energy.com.
Wire-bonding capillary
|
The Nexxus capillary increases wire-bonding process productivity by reducing the number of assists related to wire-bonding capillary failures. Fewer assists allow a more robust bonding process, with higher uptime. The capillary is suitable for fine-pitch wire-bonded devices such as QFP and BGA package types. Kulicke & Soffa Industries, Willow Grove, PA; ph 215/782-6000, e-mail [email protected], www.kns.com.
CMP metrology tool
|
The NanoXam system measures dishing of wide lines and erosion of fine-line arrays for copper and tungsten CMP-process monitoring and characterization. The noncontact design uses low-noise interferometry to measure both scribe line monitors and actual device structures on product wafers, providing real-time, in-line process control. The system measures both targets and actual device structures rapidly, allowing multiple-die sampling to monitor process nonuniformity within-wafer and wafer-to-wafer. ADE Corp., Westwood, MA; ph 781/467-3500, e-mail [email protected], www.ade.com.
Plasma generator
The Astron hf-s high-power remote plasma system cleans undesired deposits from process chamber walls. Based on low-field toroidal plasma technology, the plasma source provides increased power to run NF3 flows up to 15slm and alternative cleaning gases, such as C2F6, C3F8, CF4, or SF6. A separate lid-mountable plasma section and rack-mountable power supply provide convenient installation options. The plasma source is equipped with the ToolWeb connectivity interface for communications, control, and diagnostics. MKS Instruments Inc., Wilmington, MA; ph 978/284-4050, e-mail [email protected], www.mksinst.com.
Fluorescence microscopy system
|
The TCS 4PI microscope allows 3-7× higher axial resolutions of structures. The system uses a phase- and wavefront-corrected interferometer system linked to a confocal scanner to enable four- to sevenfold increased axial resolution over confocal and two-photon microscopy. The TCS 4PI keeps all the advantages of fast scanning, acousto-optical beamsplitting, and spectral detection of the TCS SP2 AOBS for routine operation. Leica Microsystems AG, Wetzlar, Germany; ph 49/6441-29-2550, e-mail [email protected], www.leica-microsystems.com.
Plasma etch system
The BenchMark inductive coupled plasma (ICP) etcher is a high-performance plasma-processing system capable of deep reactive-ion etching (DRIE) and low-temperature Si3N4 and SiO2 deposition. The system accommodates a variety of substrate sizes and shapes, up to 8 in. The system is designed to provide a wide range of plasma operating conditions and is suited for research, process development, or low-volume production. Axic Inc., Santa Clara, CA; ph 408/980-0240, [email protected], www.axic.com.
Lithography filter system
The ex2600 is a filter system designed for 193nm step-and-scan lithography tools, including both conventional and immersion-based systems. It is intended for use as the primary filtration system on the latest generation of step-and-scan systems, which are one-third smaller than their predecessors. Hybrid-media chemical air filters remove contaminants including acids, bases, and condensable organics, while internal HEPA filters ensure particle control. The system also serves as a make-up air filter on other exposure tools, and for photoresist coat/develop track equipment. Mykrolis Corp., Billerica, MA; ph 978/436-6743, e-mail [email protected], www.mykrolis.com.
Portable particle counter
|
The SOLAIR 1100+ particle counter offers a sensitivity of 0.10µm and a flow rate of 1.0CFM (28.3lpm), and features long-life enhanced active cavity laser technology that reportedly provides higher power, purged optics, a better signal-to-noise ratio, and requires less maintenance than conventional laser-based particle counters. The counter can store a large amount of particle count data from eight channels and data from up to four environmental sensors. All data can be quickly and reliably downloaded to a computer or printed to its built-in thermal printer. Lighthouse Worldwide Solutions, Medford, OR; ph 541/770-5905, e-mail [email protected], www.golighthouse.com.
Screw-rail for positioning applications
The Mini ScrewRail is appropriate for use in the semiconductor industry; applications include picking or positioning discreet components, including fine-pitch devices and BGAs. It consists of a precision rolled lead screw, supported by sealed bearings and contained within a concentric steel guide rail, driving an integrated nut/bushing. The ScrewRail is available in a 0.375-in. outside diameter, sizably smaller than typical diameters of 0.5-1 in. Kerk Motion Products, Hollis, NH; ph 603/465-7227, e-mail [email protected], www.kerkmotion.com.
Vacuum chuck
|
The Metapor vacuum chuck can hold 100, 200, and 300mm wafers without causing deformations and meets stringent inspection requirements. It is air-permeable over the entire surface due to its microporous structure, which allows elimination of grooves and offers a surface flatness of <10µm. The chuck is machined without coolant to ensure clean, contaminant-free pores. The holding surface can be partitioned to suit various wafer diameters for maximum clamping utilization. IBAG Vacuum Workholding Systems, North Haven, CT; ph 203/407-0397, e-mail [email protected], www.ibagnorthamerica.com.
Cassette-based loadlock system
|
The Carousel 200, a compact, high vacuum-compatible, cassette-based loadlock system delivers wafers or other flat substrates from a Semi standard or custom cassette into a process module. Magnetically coupled dual linear-drive mechanisms, an elevator system, and a rotating carousel are powered by external motors that allow substrates to be repeatedly loaded and removed without breaking vacuum. One drive can remove a wafer from the cassette while the other drive delivers a wafer to the process module. Transfer Engineering and Manufacturing, Fremont, CA; ph 510/651-3000, e-mail [email protected], www.transferengineering.com.
Manual PTFE valve
The Dymatrix NMV valve has a needle plug with PTFE diaphragm seal, allowing for precise linear flow control. Constructed from high-purity PTFE, the valve is suited for HP chemical processing and CMP applications. Its design features a diaphragm that isolates moving parts, and no wetted threads or particle generation. It is manually operated and available in straight, vertical, angle horizontal, angle L horizontal, and angle R models, with a high Cv rating and an extended cycle life. Asahi/America Inc., Malden, MA; ph 800/343-3618, e-mail [email protected], www.asahi-america.com.