Applications of spin-on hybrid BARCs for FEOL and BEOL integration
06/01/2005
Bottom antireflective coatings (BARC) enable semiconductor manufacturers to control substrate reflectivity, improve critical dimension (CD) patterning, and increase depth of focus in high-resolution photolithography. Organic BARC films are generally spin-on polymeric materials, while inorganic BARCs are applied with chemical vapor deposition (CVD). Both types of BARCs present challenges as feature sizes shrink and etching becomes more difficult due to very thin ArF resist layers in 193nm photolithography processes. A new class of spin-on hybrid BARC combines the properties of CVD and spin-on materials for tuned etch selectivity due to inorganic functionality, but also exhibits the reflectivity-controlling properties of organic BARC films.
Since the beginning of this decade, argon-fluoride (ArF) 193nm lithography has emerged as the enabling exposure technology for processes below the 110nm node. Most likely, ArF immersion lithography will further enable the industry to move next-generation 32nm ICs into manufacturing by 2010-2012, using known photolithography infrastructure and related technologies. However, process and material integration has been an ordeal in the ArF lithography generation. IC manufacturers face many challenges in dealing with resist etch budgets, depth-of-focus (DOF), the need for wider process windows, and integration of several different materials, such as hard masks, copper metal interconnects, and low-k dielectrics. In particular, poor etch resistance of ArF photoresists has become a major concern for photolithography and etch engineers due to reduced resist thickness as well as inherent properties in 193nm photoresist resins.
Printing fine features (<90nm) using ArF lithography is not the major challenge, but transferring these patterns to the substrates has become the overriding problem because of poor etch resistance in ArF photoresists. To address this problem, the lithography segment has evaluated and, in some cases, adapted several approaches, such as inorganic CVD hard-mask materials (e.g., SiNx, SiON, etc.), which can play the role of etch-masking traditionally served by photoresists, bilayer resists, and other multilayer lithography strategies. However, each of these approaches has drawbacks.
Inorganic CVD hard masks can result in resist poisoning, depending on the deposition process used. In addition, the overall cost-of-ownership of any CVD process can be higher than spin-on processes. While bilayer resist technology has been around for at least 6-7 years, it has not been successful in manufacturing due to a number of issues, including outgassing from the Si-containing imaging layer, which can cause lens damage, and line edge roughness (LER) in photoresist and ultimately in device features.
One of the recent approaches to address the challenges has been spin-on inorganic BARC with appropriately engineered etch selectivities for dual-damascene patterning of Cu interconnect structures. However, all the current spin-on hybrid BARC materials are based on organosiloxane chemistries, which suffer shelf life instability, CD nonuniformity upon aging, and difficulties in using existing techniques for reworking photoresist processes.
In ArF lithography, both spin-on and CVD antireflective coatings have respective advantages and disadvantages. Organic spin-on BARC, based on polymeric materials, absorbs exposure light to reduce substrate reflectivity and provides greater leeway in thickness control. Spin-on BARCs also provide improved DOF and process windows with a level of planarization efficiency prior to resist deposition. However, organic spin-on BARCs suffer from poor etch selectivity to photoresists as well as dielectrics. CVD-based inorganic BARC films use destructive interference to reduce reflectivity and require thickness control. While CVD BARCs exhibit excellent etch selectivity to photoresist, these inorganic coatings have limited optical property ranges.
Novel hybrid BARC design
The drawbacks with current Si-containing BARC materials have led to the development of a new class of spin-on hybrid BARC materials, based on a unique chemistry that promises to overcome all the drawbacks of traditional organic spin-on ARCs while providing hard/etch-mask properties to enable fine patterning on substrates. These novel coatings also enable superior planarizing as well as appropriate etch selectivities with dielectric substrates for ease of Cu and low-k integration using “via-first” dual-damascene process. The new spin-on hybrid BARC films also have demonstrated properties for reworkability as well as shelf life stability and pattern transfer when using the materials as hard masks.
The new hybrid BARC materials are designed to provide better etch selectivity to the photoresist as well as to the underlying substrate in a given plasma. This is achieved by incorporating organic and oxygen content BARC in a fluorinated plasma for transferring patterns from photoresist to hybrid BARC and inorganic Si to improve etch resistance in an oxygenated plasma to transfer the pattern from Si-containing hybrid BARC into the underlying substrate. Thus hybrid BARC acts as an etch mask to transfer the photoresist pattern into the underlying substrate while providing critical reflectivity control during exposure of the resist for wider DOF and CD control.
The hybrid BARC materials, called Ensemble ARC, are formulations consisting of an organosilicate copolymer in a 1-methoxy-2-acetoxypropane (PGMEA) solvent system. The organic functionality was chosen to enable tuning of the optical properties (the imaginary reflective index k) of cured films to meet specific application requirements. The formulations can be spin-coated on standard photolithography track systems and hot plate cured between 175-425°C with no degradation in optical characteristics. Formulations can be selected over a k-value range from 0.15-0.75, with thicknesses ranging from <10-1000nm. While reflectance that approaches zero is ideal, a good target for front-end-of-line (FEOL) and back-end-of-line (BEOL) applications at 193nm exposure wavelengths is having reflectance <1%. Reflectivity curves using KLA-Tencor’s Prolith/2 simulation software were generated for both a first and second minimum films on silicon where k193 was 0.53 and 0.36, respectively. The new hybrid BARC can also be used in conjunction with various hard mask materials, such as SixNy and alpha-carbon as well as organic under-layers, like SiLK-S. Reflectivity control using the new hybrid BARC has been demonstrated on a variety of hard masks, as well as organic under-layers for <0.5% reflectivity control.
Lithography and patterning
Lithographic performance can be one of the most important factors when selecting a BARC to minimize thin-film interferences, such as swing curve, standing waves, and photoresist notching. The BARC must be compatible with a variety of photoresist platforms to provide adequate imagibility and DOF. Photoresist compatibility has been demonstrated on a variety of commercially available resists. Figure 1 shows one example of lithography to date.
Figure 1. Example of hybrid BARC’s lithographic performance. |
null
Testing planarization in via-fill applications
The hybrid Ensemble ARC materials can be used in via-first dual-damascene applications, where two characteristics are critical. The first requirement is planarization with minimum isodense bias in the fill. Second is etch selectivity matching between interlayer dielectric materials and via-fill BARC, which is critical for trench patterning without causing fencing or crowning. The via-fill properties of the hybrid BARC materials were tested over a range of via diameters (0.13-0.6µm), with a depth of 0.7µm.
Figure 2. Via-fill properties between dense and isolated vias, measuring 130nm wide and 700nm deep. |
Figure 2 shows the via-fill properties between dense and isolated vias, which are 130nm-wide vias with a depth of 700nm. The via-fill properties were also tested over a variety of spin speeds (1000-4000rpm). The control of isodense bias has been demonstrated across spin speeds, and <30nm thickness bias can be achieved for the 130nm via size. Due to the polymeric functionality associated with this BARC, the material exhibits exceptional via-fill and planarization properties. The hybrid BARC exhibited reduced dimples over vias in full fill applications, as well as reduced meniscus in partial via-fill applications as compared to traditional organic BARCs when compared at the same thickness and spin speed.
Etch selectivity evaluations
As feature sizes shrink, etching becomes more challenging due to thin ArF photoresist layers, which are much less etch resistant compared to KrF photoresists used in 248nm lithography. The reduced thickness, as well as reduced etch resistance, of the ArF photoresists makes it nearly impossible to use the resist as both an imaging and a pattern transfer layer. The hybrid Ensemble ARC materials are designed to provide better etch selectivity to the photoresist in a given plasma by incorporating organic content that is not typically seen in CVD materials, while etch selectivity with dielectric layers is improved with inorganic content that is not found in spin-on BARC films.
Figure 3. Relative etch rates of materials. |
Figure 3 shows the relative etch rates of materials tested and demonstrates that the hybrid BARC films have very similar relative etch rates to commonly used low-k dielectrics. It also compares etch rates of the hybrid BARC to common integration layers. When comparing the hybrid BARC to common ArF photoresists in CF4 plasma, the hybrid BARC etched ~1.5× faster. The oxygen etch rates of the hybrid BARCs were significantly lower than that of the organic films tested. Due to the extremely slow etch rate in oxygen, as compared to organic materials, the new hybrid BARC materials can also be used as an optically absorbing hard mask material.
Selective cleaning and reworkability
In rework processes, BARC films must be selectively stripped without damage to underlying structures. Typical rework processes for photoresist and BARC materials use oxygen plasma. Due to the inorganic components of the Ensemble ARC formulations, traditional rework processes are not adequate for the job. Therefore, a wet clean process must be incorporated for rework of resist and the hybrid BARC. In addition, in via-first dual-damascene integration schemes, photoresist and BARC etch residue must be removed after dry-etch steps, which also incorporates a wet clean process. The table shows relative wet clean rates of the new hybrid BARC material as compared to various metals and low-k dielectrics.
|
null
Defectivity studies
A design of experiment (DOE) was developed to characterize the coating properties and define a low-defect dispense process for the hybrid BARC materials on 300mm wafers. The thickness was targeted to be 80nm at 1500rpm. The factors tested were dispense volume, dispense rate, wafer spin speed during dispense, exhaust of the coat bowl, and the pump reload rate. The coat track used in this experiment was a TEL ACT12 track and a KLA-Tencor SP1 inspection tool scanning for defects of 0.2µm and larger. Based on the DOE and analysis, the most important factors affecting defects were dispense volume, dispense rate, wafer speed, and reload rate. The exhaust had a small effect indicated by almost straight lines on the modeled results. These results were modeled and then optimized for a low-defect coat process. With almost two orders-of-magnitude difference in defect count depending on the process, optimization is necessary for specific production environments, but the capability for production-worthy spin-coat processes has been demonstrated.
Process integration
The new hybrid BARC materials have demonstrated desired properties for both FEOL and BEOL applications. While each piece of data is important, full integration of process flows is critical for adoption of any new materials in semiconductor manufacturing. The via-first dual-damascene integration stack that was used for testing was SiON over Black Diamond dielectric material from Applied Materials. Once the vias were printed, etched, and subsequently cleaned, Ensemble ARC material was coated for both full-fill and partial-fill applications. Excellent coating properties have been demonstrated with both full-fill and partial-fill applications. The next process step applied resist for printing the trench. Cross-sections were taken after this process step for both full-fill and partial-fill applications. This step was followed by trench etch. Via-first processing was completed with the removal of resist and BARC residues by wet clean processing.
Figure 4. Series of micrographs showing hard mask and antireflective properties of Ensemble ARC. |
Figure 4 demonstrates the use of Ensemble ARC as a replacement for SiON and a standard organic ARC in FEOL patterning applications. The hard mask and antireflective properties of Ensemble ARC are evident in this series of micrographs. The combination of hard mask and BARC into a single spin-on film leads to a simplified, lower-cost integration scheme.
Conclusion
A new class of spin-on hybrid BARC materials combines many desired properties of spin-on organic and CVD inorganic films for antireflective coating in both FEOL and BEOL applications. These materials also have demonstrated improved etch selectivity between the BARC and photoresist in ArF applications. The hybrid BARC has also demonstrated use in both blanket film applications and interconnect applications where via-fill and planarization are required. In addition, extended shelf life and stable film properties have been shown.
Acknowledgments
Ensemble is a registered trademark of The Dow Chemical Co. and ARC is a trademark of Brewer Science Inc. SiLK is a trademark of The Dow Chemical Co. Prolith and SP1 are trademarks of KLA-Tencor Corp., and ACT12 is a trademark of Tokyo Electron Ltd. The authors would like to recognize the contributions of Larry Wilson and Paul Popa of The Dow Chemical Co. and Nick Brakensiek, Willie Perez, and Shreeram Deshpande of Brewer Science Inc., as well as SiLKNet Alliance partners Air Products, ATMI, DuPont EKC Technology, SEZ Group, TEL, and Mallinckrodt Baker Inc.
Stephen Turner received his BS in chemistry from the U. of Missouri in Rolla, MO. He is a senior chemist working on BARC platforms at Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401; ph 573/364-0300, ext. 1149, fax 573/364-0650, e-mail [email protected].
Lynne Mills received her MS in ceramic science and her BS in ceramic engineering from Rutgers U. She is the project manager for the Ensemble product family at The Dow Chemical Co., 1712 Building, Midland, MI 48674; ph 989/638-6473, fax 989/636-1102, e-mail [email protected].