Issue



Mask metrology using OCD for profiling


04/01/2005







Optical critical-dimension (OCD) metrology or “scatterometry” has experienced rapid growth in sub-0.1µm wafer processes for both CD measurements and line profiling. The technology provides information not available from CD- and X-SEMs or AFMs, including detailed profiles of structures such as overhangs, undercuts, and sidewall angles, as well as the measurement of quartz etch depth. OCD has recently been applied to both masks and reticles, and can provide the means for accurately determining linewidths and analyzing complex profiles for a variety of structures found in mask fabrication. Several applications of OCD are evaluated.

The trend in mask fabrication is moving away from simple chrome on glass binary mask and attenuated phase-shift mask (PSM) structures. Complex tri-tone (embedded phase-shift) masks and alternating PSMs (alt-PSM) will require profile measurements that are currently done by traditional X-SEM. The difficulty in obtaining these measurements for routine process development and monitoring has accelerated the adoption of OCD metrology. As wafer-level CDs continue to shrink, the ability to accurately and precisely measure these structures with traditional CD-SEMs will diminish.

OCD technology has been applied to a number of critical measurement steps in the photomask fabrication process: after-development inspection (ADI) and after-etch inspection (AEI) for binary and PSMs. In addition, OCD technology has demonstrated the ability to monitor the mask CD quality in the presence of a protective pellicle.

Examples of these measurement techniques illustrate the capabilities of OCD metrology for ADI, AEI, and mask monitoring applications that emphasize how the technology can be incorporated at multiple steps in the mask manufacturing process. The determination of important CDs in photomasks via optical techniques is appealing for several reasons:

  • The method is nondestructive to photoresist - the sample is not subject to charging effects seen in scanning electron microscopy.
  • The technique is capable of measuring the CDs of grating structures down to ~40nm.
  • Minimal facilities are required for installation (no high vacuum, cooling, or shielding of electromagnetic fields).

OCD metrology

A scatterometry metrology tool measures reflectance spectra from a test pad consisting of a grating to extract information about the grating dimensions and line profiles. These lines represent dimensions of the actual device being manufactured. It is possible to increase both the sensitivity and speed of the measurement by simplifying the optical models. This is accomplished in the OCD tool by using broadband, linearly polarized light at normal incidence. The polarization of the incident beam is oriented either parallel (TE) or perpendicular (TM) to the grating lines. The reflectance spectra RTE and/or RTM are then analyzed by sophisticated numerical models to extract information about the line profiles, including CDs.

In addition to the RTE and RTM spectra, normal-incidence OCD also can measure the ellipsometry spectra (Ψ, Δ). All that is required to obtain these quantities is an extra measurement with the polarizer set at 45° from the grating lines’ orientation. The ellipsometry spectra (Ψ, Δ) are then analyzed to extract CD information.


Figure 1. ADI resist structure model.
Click here to enlarge image

In addition to the measurement of linewidth CDs, the technology also provides for the determination of pitch, sidewall angle, height, and the thickness of underlying layers. Features such as rounding, notching, and footing also can be added to the model to provide complete characterization of the mask or reticle features.

After-develop inspection

The measurement of photoresist grating structures using normal-incidence spectroscopic ellipsometry enables evaluation of maskwriter performance. The grating structure consists of patterned photoresist on chrome oxide/chrome film on quartz. The ADI photoresist structures can have significant variation depending on the maskwriting process. Figure 1 shows a typical grating structure and several of the possible output parameters. With current technology focused on printing 90-110nm features at the wafer level, 400nm CDs at the mask level are common. Optical CD technology can be used to measure CD uniformity (CDU) for ADI samples.


Figure 2. CDU plot for an ADI mask.
Click here to enlarge image

The uniformity of the ADI photoresist structures can have significant impact on mask CDU and the resulting CDU across the wafer. New 65nm semiconductor fabrication processes will require photomasks with a high level of global CDU. Global CDU can be influenced by a number of sources in each process step including electron-beam exposure, develop, and etch. It has been reported that for negative-tone chemically amplified resist, e-beam exposure and post-exposure bake (PEB) are critical processes with some resist showing strong post-exposure delay in vacuum during the writing cycle, resulting in side-to-side CD errors.


Figure 3. AEI pre-strip profile for resist on chrome/chrome oxide mask structure.
Click here to enlarge image

An example of using OCD to evaluate CDU across the mask is shown in Fig. 2. In this example, an 11×11 test mask was measured; the results show a distinct “tilt” variation in the measured CDs across the mask. Mapping CDU provides the necessary information for subsequent feedback/control to the PEB process.

After-etch inspection

Pre-strip. To understand the error components for each process step, it is also desirable to measure the structures after each process step. OCD metrology can be used to examine CD profiles (and CDU) prior to resist strip, allowing tracking of the Cr etch rate across the mask; an example is shown in Fig. 3. Throughout each step of the process, the detailed profile’s resist and chrome/chrome oxide thicknesses and sidewall angle or shape may be examined.


Figure 4. OCD profiles from two mask samples a) before and b) after chrome hard-mask removal.
Click here to enlarge image

Post-strip profiling examples. For typical process steps, the resist is stripped, resulting in a standard binary chrome-on-glass mask. For advanced lithography applications, however, the chrome can be used as a hard mask over a phase-shift material such as MoSi. Etch selectivity differences between the chrome and MoSi materials can result in an over-etch of the phase-shift material. To determine the CD of the MoSi, the chrome can be stripped and measured using CD-SEM or cross-sectioned and measured using X-SEM. OCD techniques provide a powerful, nondestructive method for determining these profiles prior to the hard-mask removal. An example of these process steps is shown in Fig. 4 from two samples in the process flow. The OCD technique shows the profile with a sloping sidewall angle of the MoSi phase-shift material and the discontinuity between the chrome and phase-shift material.


Figure 5. X-SEM and OCD data results: a) X-SEM depth is ~306nm (estimation) with a CD of 304nm; b) OCD depth was 307nm with a CD of 319nm; c) TE and TM reflectance scans, as well as model reflectance curves, are shown.
Click here to enlarge image

Quartz etch. Quartz etch methods for advanced photomask applications include alternating-aperture phase-shift mask lithography and chromeless phase lithography (CPL). For these processes, the lack of an etch stop layer for quartz-etch endpoint detection requires careful control of the etch rate. The ability to monitor etch depth for quartz grating structures via an optical technique can provide a unique method for monitoring etch process variations. Initial results show agreement between the model and X-SEM measurements. Figure 5 illustrates the X-SEM and OCD data results. The X-SEM depth was estimated to be 306nm with a CD of 304nm; OCD depth was 307nm with a CD of 319nm. The ability to measure the etch depth by a nondestructive, noncontact method reduces the time required to optimize etch recipe development.

Conclusion

OCD measurements provide a powerful tool to determine linewidths and analyze the complex profiles for both ADI and AEI structures. Global CDU can be rapidly determined to enable advanced process control. The repeatability of CD measurements is typically 3σ<1nm. OCD measurements of AEI structures show good agreement with CD-SEM, and comparisons of OCD calculated profiles to X-SEM measurements are excellent. Given the difficulty in obtaining X-SEM images for mask samples, the possibility of using OCD measurements for profiling is very appealing. This information would be useful in generating the grating profiles to monitor maskwriter performance and control the etch process.

Ray Hoobler received his PhD in chemistry from the U. of Pennsylvania and is an OCD scientist at Nanometrics Inc., 1550 Buckeye Dr., Milpitas, CA 95035; ph 408/435-9600, fax 408/232-5910, e-mail [email protected].

Peter Gise received his PhD in electrical engineering from Santa Clara U. and is corporate marketing manager at Nanometrics Inc.