UV-assisted processing for advanced dielectric films
03/01/2005
Ultraviolet-assisted thermal processing (UVTP) is emerging as a viable film-modification technology for advanced dielectric applications. As a post-deposition process, UVTP enhances dielectric film properties by combining UV photon energy and thermal processing to modify the composition and structure of the films. Tests show that UVTP can facilitate the production of high-stress silicon nitride films and improve the mechanical strength and adhesion of low-k dielectric films.
To improve device performance and reliability at the 65nm technology node and beyond, the IC industry must overcome challenges brought by shrinking device geometries, increasing RC delays, and integration of advanced materials. This article provides an overview of UVTP and demonstrates its effectiveness in three critical applications: generating high-stress silicon nitride (SiN) films; creating ultralow-k (ULK) dielectric films; and enhancing mechanical strength of dense carbon-doped oxide (CDO) low-k films. Application challenges and alternative techniques are also discussed.
How UVTP works
UVTP combines UV photon energy and thermal processing to modify dielectric films. The film is first deposited using thermal chemical vapor deposition (CVD) or plasma-enhanced chemical vapor deposition (PECVD). The film is then exposed to a uniform UV source for modification; the spectral distribution of the UV source can be optimized for the absorption of selected chemical bonds in the dielectric films. At the same time, the wafer is heated to a uniform temperature, typically 400°C or less. UV intensity, temperature, and process time can be independently adjusted to achieve the desired film properties required by the application.
During the modification process, the dielectric film undergoes chemical and structural changes. Covalent bonds in the film absorb photon energy at specific wavelengths from the UV source and become activated to start photochemical reactions (decomposition or transformation). The decomposed molecules diffuse out of the film and are removed from the wafer. Some terminal groups with the proper spatial geometry in the film lattice are activated to form chemical bonds with adjacent groups, resulting in structural cross-linking throughout the film to enhance mechanical strength.
Imparting stress in SiN films
UVTP has proven to be effective for improving dielectric films in front-end-of-line (FEOL) processes so that device performance is enhanced. In particular, UVTP can be integrated with new engineering techniques that are used to boost performance as transistor dimensions shrink to the 45nm node.
At the 45nm node, scaling alone cannot provide the required performance benefits. Thus, the industry is turning toward new strained-silicon processes, such as the use of silicon germanium (SiGe) and ultrahigh-stress films, to maintain the pace of innovation described by Moore’s Law [1]. Strain can be induced in nMOS devices by depositing a highly tensile SiN capping layer on the source and drain regions. The SiN layer transmits a uniaxial lateral strain to the nMOS channel through the source and drain regions, which in turn imparts a tensile stress - ideally >1GPa - to the nMOS channel.
To modify the structure of the as-deposited SiN film so that it will impart significant strain to the transistor channel at room temperature, several high-temperature, low-throughput techniques have been used, such as low-pressure chemical vapor deposition (LPCVD) and atomic layer deposition (ALD). Currently, the preferred method involves depositing the film using LPCVD while introducing alternative precursors that can lower the deposition temperature to ~550°C. This temperature, however, is too high to meet the low thermal-budget requirements of advanced logic devices that use nickel silicide (NiSi) metal gates. At temperatures >450°C, NiSi undergoes a phase transformation that increases its resistivity [2].
UVTP overcomes these thermal-budget limitations because it uses UV photon energy instead of high temperature to break bonds within the SiN film, enabling high tensile stresses to be achieved at deposition temperatures compatible with the NiSi substrate. The process starts with a PECVD SiN capping layer that is blanket deposited after the salicidation of the source, drain, and gate. The SiN film is modified through exposure to UV radiation at a temperature <450°C. Stress levels >1.5GPa have been achieved at 400°C with a process time <10 min.
Figure 1. Effect of modifying temperature on the film stress of a typical tensile PECVD SiN film. |
Research has shown that higher wafer temperatures (Fig. 1) and higher UV dosages - for example, from longer treatment (Fig. 2) or increased UV intensity - resulted in increased tensile stress for the UVTP-modified SiN films.
Figure 2. Variation of film stress and hydrogen content with increasing UV modification time for a typical tensile PECVD SiN film. |
UVTP generates irreversible tensile stress by enabling the removal of hydrogen from the as-deposited film. PECVD SiN films generally contain 15-30% hydrogen in the form of silicon-hydrogen (Si-H) and nitrogen-hydrogen (N-H) bonds. During UVTP modification, these bonds absorb the UV energy and are broken. Hydrogen atoms from adjacent broken bonds combine to form molecular hydrogen (H2) that diffuses out of the film, subsequently generating microvoids in the film. The photon energy from the UV source, combined with the thermal energy from the wafer temperature, causes these voids to shrink to minimize surface energy. The hydrogen loss and void elimination result in volume contraction, as evidenced by a reduction in film thickness. However, the constraint of the substrate prevents any lateral shrinkage, thus imposing tensile strains in the film.
The final stress value obtainable using UVTP is dependent on the structure and composition of the initial as-deposited SiN film. The experimental data to date suggest that a relationship exists between the film composition, starting porosity, and the ability to efficiently drive off hydrogen, which in turn determines the final film stress. To obtain a high change in stress during UV modification, research has shown that the optimal as-deposited SiN film has an Si:N ratio near the stoichiometric value of 0.75, is highly porous, and contains a considerable amount of hydrogen.
Creating porous low-k films
UVTP also has proven to be effective in enabling high mechanical strength in back-end-of-line (BEOL) ULK dielectric materials (k<2.5). At the 45nm technology node and beyond, ULK dielectrics are needed to minimize device delays induced by intermetal and interlayer capacitance [3]. One path toward achieving ULK dielectrics has been to reduce the k values of low-k CDO materials through the introduction of porosity [4, 5].
In PECVD, a sacrificial porogen material (polymeric pore generator) is deposited simultaneously with the organosilicate precursor that forms the dielectric CDO matrix. When the porogen is removed in a post-treatment step, voids are left in the backbone matrix, and a porous film is created. However, the effectiveness of porogen removal presents a challenge in selecting the post-treatment process. The introduction of porosity also creates an extremely fragile film that is susceptible to chemical and mechanical degradation - leading to reliability and yield issues when these low-k dielectrics are incorporated in the copper dual-damascene process.
Thermal annealing is the most readily available method for modifying low-k films. However, this process does not remove the porogen efficiently, nor does it significantly harden the film. The process also subjects the film to a high temperature (typically >400°C) for an extended process time (typically >1 hr); the thermal budget for such a process is not compatible with the copper dual-damascene technology.
A second approach uses a high-energy electron beam (e-beam) to modify and harden the film. The e-beam excites electrons in the film’s backbone, imparting enough energy to break bonds and induce cross-linking in the backbone matrix. This method, however, introduces several potential concerns, including plasma-induced damage (PID) and difficulty in controlling the penetration depth of high-energy electrons in thin films.
Compared to e-beam and thermal methods, UVTP enhances porogen removal and chemical cross-linking with lower thermal budget, better process control, and no risk of PID. At process temperatures 400°C and process times <10min, UVTP falls within the thermal budget allowed for the copper dual-damascene process. Moreover, because of the method’s selectivity and low energy, UVTP can completely remove the porogen without incurring charge damage in the dielectric or underlying transistors.
The UVTP modification process accelerates porogen removal by using UV photon energy to break the carbon-hydrogen (C-H) and carbon-carbon (C-C) bonds in the porogen. The resulting cracking products thermally diffuse out of the film. As a result of removing the porogen, the dielectric constant of the film initially decreases and then stabilizes after complete porogen removal. Simultaneously, the porogen removal creates voids in the film, leading to a rapid decrease of the film hardness and modulus in the initial stage of UV modification. The overall trend of dielectric constant and hardness evolution during UV modification is demonstrated in Fig. 3. With further UV exposure, cross-linking in the backbone matrix becomes the dominant process driving the increase in hardness and modulus with increasing modification time. This enhancement is similar to that obtained with dense CDO films (see the next section).
Figure 3. Effect of modification time on the dielectric constant and hardness of porous low-k CORAL film. |
Dielectric films produced using UVTP have been shown to possess pore attributes and mechanical characteristics required for successful integration: The technique has generated porous low-k CORAL films with a k = 2.5, small average pores 1.4nm in dia., a narrow pore-size distribution of ±0.3nm, and a hardness >1.3GPa. Excellent pore size and composition distribution throughout the film also have been demonstrated with secondary ion mass spectroscopy and positron annihilation lifetime spectroscopy (PALS) depth profile analyses. Closed pores, as measured by the PALS technique, have been engineered through process optimization and show significant advantages in integration, such as reduced wet-etch rate in cleaning solutions and no precursor penetration during barrier metal deposition. Because the dielectric constant varies linearly with porogen concentration, the porogen technology is extendable below k = 2.2 by incorporating more porogen to the film.
UVTP also demonstrates good process-control capability. The penetration depth of the photons can be tuned so the underlying layers are not modified multiple times (which would cause continuing shrinkage in sublayers). Multiple layers of a ULK/silicon carbide (SiC) stack have been built with no change in thickness observed in the underlying layer while the top layers were processed.
Enhancing the integration performance of dense CDO films
For successful integration, dense low-k CDO films must meet two crucial mechanical requirements: high cohesive strength and excellent adhesion. UVTP has proven to be effective in enhancing film performance in both these areas.
A film’s cohesive strength affects its cracking properties [6], which in turn affect device reliability, packaging, and yield. A higher cohesive strength leads to better performance and reliability during packaging. Cohesive strength is a function of modulus and film stress; a high cohesive strength can be achieved by maximizing modulus and minimizing film stress.
Research has been conducted to characterize the effect of UVTP on the mechanical properties of dense PECVD CORAL films with k values between 2.6 and 3.0. During the modification, the film is exposed to UV energy, which breaks selective bonds in the film’s backbone, promoting cross-linking between different terminal groups. This cross-linking has been shown to strengthen the film, making it more resistant to cracking, and improving the film’s adhesion to adjacent layers.
To determine the effect of UVTP on film strength, the modulus of the CORAL films was measured after deposition and after UVTP. As shown in Fig. 4, the UVTP-modified CORAL films typically had a modulus greater than that of the unmodified films. For example, an as-deposited CORAL film with a k = 2.7 had a modulus of 6GPa, which increased to >10GPa after modification.
Figure 4. Effect of UVTP on the dielectric constant and modulus of dense low-k CORAL films. |
The effect of UVTP on film cracking propagation was studied quantitatively by observing under a microscope how fast a crack propagated from an initial crack, which was created by a controlled indentation on a blanket CDO film deposited on a silicon wafer. Results showed that UVTP reduced film-cracking propagation velocity by more than two orders-of-magnitude compared to the as-deposited film.
Adhesion affects the film’s performance during chemical mechanical planarization (CMP) as well as device reliability. Poor adhesion results in delamination and other deleterious effects during copper/low-k integration and packaging. Film adhesion is not only dependent on appropriate pre- or post-treatment steps between the film interfaces, but is also a function of the film’s modulus. Thus, improving the film’s modulus yields higher adhesion (Fig. 5).
Figure 5. Effect of CDO film modulus on interface adhesion. |
UVTP enhancement of interfacial adhesion was examined with a four-point bend probe. Test results showed that the critical strain-energy release rate (Gc) of an unmodified Si/oxygen-doped carbide (ODC)/CORAL (k = 2.7)/ODC stack improved from 4.2J/m2 to 15.6J/m2 when treated with UVTP, compared to 6.4J/m2 obtained with helium plasma treatment and <4J/m2 with no surface treatment.
Conclusion
UVTP has become an enabling technology for dielectric films at both the transistor level (high-stress SiN films) and the interconnect level (low-k CDO films). UVTP offers shorter processing time, lower thermal budget, and minimal risk of electrical charging damage compared to alternative techniques such as thermal annealing and e-beam processing.
For FEOL applications, UVTP can be used to create high tensile stresses in SiN films with relatively low process temperatures that facilitate the integration of strained silicon into a 45nm process flow incorporating temperature-sensitive NiSi.
For BEOL applications, UVTP enhances critical properties of low-k CDO films, such as modulus, adhesion, and cohesive strength. These improvements result in better integration behavior in processes such as CMP, sawing, and packaging, eventually leading to increased production yield. Porous low-k films treated using UVTP display the same mechanical performance as 90nm-generation CDO films, but with a much lower k value - enabling the use of ULK materials at the 45nm node and beyond.
Acknowledgments
Additional authors of this paper are Mike Christensen, Feng Wang, Qingguo Wu, Kathy Bennett, Haiying Fu, Brian Lu, David Mordo, Mark Yam, Kevin Ilcisin, Bill Crew, and Sriram Seshagiri of Novellus Systems Inc. CORAL is a registered trademark of Novellus Systems Inc.
References
- T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, et al., “A 90nm High Volume Manufacturing Logic Technology Featuring Novel 45nm Gate Length Strained Silicon CMOS Transistors,” IEDM Tech. Dig., 2003.
- A. Lauwers, M. de Potter, O. Chamirian, R. Lindsay, C. Demeurisse, et al., “Silicides for the 100nm Node and Beyond: Co-silicide, Co(Ni)-silicide and Ni-silicide,” Microelectronic Engineering, Vol. 64, p.131, 2002.
- K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S.H. Brongersma, et al., “Low Dielectric Constant Materials for Microelectronics,” J. Appl. Phys., Vol. 93, p. 8793, 2003.
- A. Grill, D.A. Neumayer, “Structure of Low Dielectric Constant to Extreme Low Dielectric Constant SiCOH Films: Fourier Transform Infrared Spectroscopy Characterization,” J. Appl. Phys., Vol. 94, p. 6697, 2003.
- R.N. Vrtis, M.L. O’Neill, J.L. Vicent, A.S. Lukas, B.K. Peterson, et al., “Plasma Enhanced Chemical Vapor Deposition of Porous Organosilicate Glass ILD Films With k < 2.4,” Proc. MRS Symp., Vol. 766, p. 259, 2003.
- G. Xu, J. He, E. Andideh, J. Bielefeld, T. Scherban, “Cohesive Strength Characterization of Brittle Low-k Films,” Proc. IITC, p. 57, 2002.
Vineet Dharmadhikari received his PhD in physical sciences from Pune U. and is VP and GM of the PECVD business unit at Novellus Systems Inc., 4000 North 1st St., San Jose, CA 95134; ph 503/885-6402, fax 503/582-3102, e-mail [email protected].
J.S. Sims, B. Varadarajan, S. Chang, D. Niu, K. Shrinivasan, Novellus Systems Inc., San Jose, California