Product News
12/01/2002
ALD/PVD copper barrier/seed system
|
The Endura iCuB/S integrated Cu barrier/seed system deposits the critical barrier and seed layers in 65nm-generation (and beyond) copper interconnects. Using new ALD technology, iCuB/S makes possible an ultrathin, conformal tantalum nitride (TaN) barrier layer that is compatible with advanced low-k dielectric films. The TaN barrier layer is critical to achieving reliable copper structures. Tighter geometries of the 65nm node require that the barrier layer be exceptionally thin to maintain low interconnect resistivity while also providing good barrier properties and good dielectric-to-metal integrity. ALD technology, which deposits a single atomic layer at a time, enables such ultrathin layers with uniform coverage on small, 65nm features. The Endura iCuB/S system's TaN chamber deposits an ultra-thin barrier layer with virtually 100% side and bottom coverage. In addition to providing a superior interface with the PVD seed layer, the ALD TaN film is an effective barrier for integration with advanced low-k dielectrics. Applied Materials Inc., Santa Clara, CA; ph 408/563-0647 or 408/748-5227, www.appliedmaterials.com.
Scanning-laser particle detector
|
Stiletto is an in situ particle detector that finds yield-limiting contamination in real time on every wafer with scanning-laser sensitivity and accuracy. It is available for pump-line and above-wafer applications in process chambers, transfer chambers, and loadlocks. An easy-to-use interface with the FabGuard Sensor Integration and Analysis system enables synchronized data collection and diagnostics for real-time advanced process control, wafer yield improvement, and increased tool productivity for demanding semiconductor applications. A resonant scanner continuously monitors a much larger volume than stationary laser beam systems, so Stiletto is able to provide statistically significant count rates for process control. In addition, repeatedly scanning the same volume permits autocorrelation, an advanced signal processing technique that virtually eliminates false counts. This combination of technologies delivers submicron sensitivity that detects "killer particles" while avoiding nuisance events. Applications include process/equipment/component optimization, process fingerprinting, chamber clean prediction, chamber clean recovery, plasma clean endpoint, fault detection and classification, and yield loss evasion. INFICON, E. Syracuse, NY; ph 315/434-1100, [email protected], www.inficon.com.
300mm automated wet cleaning
|
The UW300Z Version 3 is an advanced 300mm automated wet cleaning system for sub-0.25µm front-end-of-line (FEOL) cleaning, oxide etching, and photoresist stripping. Version 3 achieves improved process performance through optimized point-of-use bath designs, and improved process control through its Advanced Group Controller and inline metal analysis. In addition, the tool features new applications and increased flexibility in bath placement and configurations, including a dual SD2 dryer configuration for increased performance capabilities and throughput. The UW200Z/300Z system — for 200mm and 300mm wafers — can be used for vapor ozone strip, an alternative to SPM/SOM for photoresist strip, and point-of-use, single-pass, multichemical injection for dilute chemical processing, in addition to conventional chemical circulation capability. The system features z-axis handling, which allows for smaller tank volumes, thus reducing use of DIW and chemical consumption and improving control of waste and management. TokyoElectron America, Austin, TX; ph 512/424-1533, [email protected], www.tel.co.jp.
E-beam lithography system
|
The Leica EBPG5000+ e-beam lithography system is a tool for pattern generation on semiconductor wafers in the sub-100nm range, optimizing the qualities of its predecessor models in terms of smallest possible linewidths, placement accuracy, and throughput. Manufacturers will use the EBPG5000+ for the definition of submicron gate electrodes and thus for wafer direct write. This step is a key patterning process in the production of HFET transistors. The EBPG5000+ is the result of further development of the "Beamwriter" series. Leica Microsystems AG, Wetzlar, Germany; ph 49/6441-29-2550, [email protected], www..leica-microsystems.com.
On-wafer device characterization
|
The Infinity Probe is the first on-wafer probe that offers both high-frequency performance and low stable contact resistance on aluminum pads (typically <0.1 W). Infinity improves measurement accuracy and repeatability for the device characterization and modeling community. It combines this company's proprietary thin-film technology with coaxial technology. The result is a probe withelectrical and mechanical performance that compares favorably to that of conventional RF probes. Cascade Microtech Inc., Beaverton, OR; ph 503/601-1181, [email protected], www.cascademicrotech.com.For FREE info circle 405
Nonadhesive wafer bonding
ZiROC technology allows aligned wafer-to-wafer and die-to-wafer bonding using standard process equipment, in ambient fab conditions. Initial applications include custom engineered substrates for temperature compensation and other thermal management needs. The ZiROC bonding technique enables wafer-scale, hermetic encapsulation of MEMS and other surface-sensitive devices. Combined with this company's post-bonding interconnect process, the technology enables the 3D integration of existing devices and, ultimately, the fabrication of custom solid-state systems architected in three-dimensions. The ZiROC process ensures a uniform covalent bond across the wafer interface. Ziptronix, Research Triangle Park, NC; ph 919/485-5300, [email protected].
Integration of equipment engineering capabilities
APCbuilder, combined with EEScube, is a cost-effective and flexible solution designed to enable reliable integration of fault detection, run-to-run control, and equipment performance tracking for improved fab and tool efficiency. The combination of APCbuilder and EEScube provides process and equipment engineers with a simple connection to access the critical data from semiconductor equipment, necessary for equipment engineering capabilities. A consistent user interface and simple, visual graphics significantly reduce training and configuration costs and allow engineering groups to manage data collection, frequencies, amounts and destinations. APCbuilder can seamlessly integrate as a component at the equipment automation layer or by inserting EEScube into the communication link between the automation layer and the tool, via a pass-through. Brooks-PRI Automation, Chelmsford, MA; ph 978/262-2459, [email protected], www..brooks-pri.com.
Pressure transducer
|
Model 227INT pressure transducer is designed with an integral rotatable 3.5 digit LCD display for 360° viewing and can be configured with the choice of a space-saving 1.125-in. Down-Mount "C" Seal or "W" Seal interfacing base. Designed to meet the tight space ..requirements of 300mm processes, the Model 227INT is suitable for installation on high-density, surface-mount modular block gas sticks and panels for monitoring of specialty gas processes in high-purity gas delivery systems and semiconductor process tools. Weighing 4 oz and 4.5 in. high, the 227INT avoids downtime by offering good zero stability and long-term reliability, achieved through variable capacitance sensing technology. The Down-Mount "C" Seal pressure fitting and small swept sensor chamber utilize VAR 316L SS electropolished to 5Ra (10 max.) finish, which eliminates particle entrapment and provides easy system purging. Setra Systems Inc., Boxborough, MA; ph 800/257-3872, [email protected], www.setra.com.For FREE info circle 408
Noncontact measurement system
|
The automated MicroLine 600 noncontact measurement system provides both depth and linewidth measurement for semiconductor wafers. It measures linewidths of 0.5–40µm at 100¥, and 10–800µm at 5¥. A hand-lapped X-Y stage with laser interferometer positional feedback provides better than 0.5µm stage positional accuracy/4 in. of travel. Line measurement repeatability is 2nm (1s). MicroLine 600features a granite base and bridge with air-bearing z-axis to provide high-accuracy z-axis ..motion. A confocal microscope provides very precise focus detection for depth measurement repeatability of better than 20nm. The system features a video autofocus function, which is critical to measurement repeatability. An automatic wafer handler with prealigner, motorized nosepiece, and pattern recognition provide full automation. A vibration isolation platform is standard. Micro-Metric Inc., San Jose, CA; ph 408/452-8505 or 800/490-3333, [email protected], www.micro-metric.com.For FREE info circle 409
5µm die attach solution
This 5µm die attach solution — on the Micron 5003 Die and Flip Chip Attach machine — ensures more accurate bonding performance and improved results. It is capable of taking thousands of unattended measurements over the entire bond range, under production conditions. To obtain this result, the ceramic beam/air bearing gantry concept is combined with advanced motion control. The placement accuracy is effective over a work envelope of 240 ¥ 200mm. The tool has application not only for high-end flip-chip bonding but also for new processing technologies, such as direct chip attach on printed circuit boards, multi-chip modules, optoelectronics and MEMS assembly. ESEC, Cham, Switzerland; ph 41/41-749-5111, [email protected], www.esec.com.For FREE info circle 410
Silicon nitride etchant
|
Transetch N is a concentrated reagent derived from ortho-phosphoric acid. It boils at 180°C and selectively etches silicon nitride or aluminum oxide in the presence of silicon or ..silicon dioxide. Fast and controllable, Transetch N eliminates overetching and undercutting. Also available is a series of Wollam-style condensers for use with Transetch N or EDP silicon etches. This company's etching equipment handles a maximum of 34 wafers up to 300mm. Transene Co., Danvers, MA; ph 978/777-7860, [email protected], www.transene.com.
CD mask metrology systems
LWM250 UV/DUV CD mask metrology systems are designed to meet the requirements of CD measurements on masks and reticles. The LWM250 UV's high-resolution UV optics allow measurement of features as small as 0.3µm. The LWM250 DUV is a third-generation optical CD measurement systems for the photomask industry. With its high-resolution DUV optics, the system can measure features down to 0.16µm on substrates up to 6 ¥ 6 in. at 248nm wavelength with very good CD repeatability performance. Leica Microsystems, Wetzlar, Germany; ph 49/6441-29-.2550 or 703/229-4503 (in US), [email protected], www.leica-microsystems.com.For FREE info circle 412
Multipurpose lithography
The NanoTech Stepper family consists of five tools: NanoTech 100's features include 1.0- or 0.8µm lens options, a machine vision system, and a PC controller; NanoTech 160 has 2.0-, 1.0- or optional 0.8µm lenses that are suitable for processing inkjet print heads, microactuators, optical components and backside via applications; NanoTech 190 features 2.0- or 1.0µm lens options and an application-specific, air-bearing rowbar patterning system; NanoTech 200 is designed for processing both thick and thin films that are used in such products as planar lightwave circuits for optical technology applications, and it features 1.4- or 1.0µm lens options; and NanoTech 200i offers production resolution of 0.75 or 1.0µm. Ultratech Stepper Inc., San Jose, CA; ph 408/321-8835, [email protected], www.ultratech.com.
Wafer dicing
MultiDice optical beam delivery system is designed to improve the throughput of laser-based semiconductor wafer dicing processes. The MultiDice platform provides pre-removal of first layer materials, including low-k dielectric and other coatings that are either brittle or at risk of damage due to specific laser wavelengths. The system utilizes a laser with multiple UV and IR wavelengths. MultiDice technology is a hybrid design utilizing portions of existing elements from this company's current line of patented and patent-pending optical systems for micro via drilling, IC memory repair, and SMD trimming, including HyperVia technology. NanoVia LP, Londonderry, NH; ph 603/421-0713, [email protected] FREE info circle 414
Copper CMP for 90nm
This suite of fully characterized copper CMP products and processes of record (PORs) meets 90nm node ITRS and end-user performance requirements. The offerings include copper, barrier and dielectric polishing pads, and chemistries and conditioners that can be applied to multiple integration schemes and "tuned" for customer-specific applications. Experienced integration engineers are available to help implement these copper products and PORs on-site. This company's customized copper/barrier/dielectric polishing chemistries includes single-component, silica-based copper bulk removal and clearing slurries, single-component selective reactive liquid copper-clearing chemistries, single-component selective and nonselective silica-based barrier and dielectric polishing and isolation slurries. Rodel Inc., Phoenix, AZ; ph 602/470-4418, [email protected], www.rodel.com.For FREE info circle 415
Photoresist filtration
This finer-filtration technology, beyond the 0.05µm industry standard, removes defect-causing contaminants from photoresists used in next-generation, sub-100nm processes. This high-density polyethylene 0.03µm filter technology addresses the critical need for advanced photochemical dispense for next-generation semiconductor manufacturing. The 0.03µm-level filtration has been introduced specifically to meet the requirements established by the International Technology Roadmap for Semiconductors (ITRS). Evaluation of 0.03µm point-of-use photoresist dispense applications has demonstrated that finer filtration is possible with existing and newer resist chemistries without affecting resist performance. Three new products are designed with this company's 0.03µm technology, the PE-Kleen filter for bulk manufacture of next-generation photoresists, the Falcon filter for photoresist dispense pumps, and the PhotoKleen EZD-2L assembly for applications where lower hold-up volume, reduced chemical exposure, and minimized dead space is desired. Pall Corp., East Hills, NY; ph 516/801-9104, [email protected], www.pall.com.For FREE info circle 416
Resist spray coating
|
The stepper motor-driven, volume-controlled precision diaphragm fluid dosing pump, GSP-98MLG, is designed for spray coating of photoresist for high topography wafer substrates. A dispense rate of 50–100 microl/sec and a dosing amount of max 5 ml are selectable. ..Innovations include an integrated valve control that guaranties a stress-free switch between suck on and dispense of the media. Further, the pump handles a wide range of media viscosities up to 600 cPs. All parts in contact with the media are made of highly resistant materials. The dispensing pump comes with the Pump Controller GSP, equipped with Serial Interface RS232 and Digital I/O, as well as industrial field buses such as InterBus or ProfiBus. Up to 10 pump protocols can be stored, as well as an automatic clean cycle. The dispense flow can be selected as constant or dynamic. SAWATEC Solutions AG, Balzers, Liechtenstein; ph 423/384-2652, [email protected], www.sawatecsolutions.com.For FREE info circle 417