Issue



New Products


08/01/2002







Click here to enlarge image

Defect review system
The SEMVision G2 system rapidly images and analyzes defects as small as 80nm. Using proprietary imaging technology, the system can review defects at the bottom of high-aspect-ratio features, as well as electrical defects, with throughput of up to 1000 defects/hr. The technology engine of the SEMVision G2 system is its proprietary Multi-Perspective Imaging capability, which collects defect images from different angles and translates them into meaningful information. High-aspect-ratio imaging, incorporated in the column, allows high-quality review of the bottom of 10:1 vias. In addition to its highly automated defect review features, the SEMVision G2 provides advanced capabilities for both production and engineering. These include bare wafer review, patterned wafer EDX (energy-dispersive x-ray), and new process inspection capabilities. The system's Advanced Process Inspection (API) e-beam inspection mode allows users to choose critical areas of the die or predefined test structures for efficient inspection with high-resolution SEM imaging. The combination of superior voltage contrast imaging, API and test structure analysis enables users to find both random and systematic killer defects, and quickly ramp-up new process modules. Applied Materials Inc., Santa Clara, CA; ph 408/563-0647 or 408/748-5227, www.appliedmaterials.com.

Click here to enlarge image

Optical thin-film metrology
SpectraFx 100 delivers the precision, stability and matching required for advanced film-measurement applications at the 90nm node and beyond, including 193nm deep-ultraviolet (DUV) lithography processes. These materials include 193nm photoresists and single- and multilayer anti-reflective coatings, complex copper dual-damascene film stacks, low- and high-k dielectrics, silicon on insulator (SOI), and silicon germanium (SiGe). Resolution optics allow thin-film measurements across a continuous wavelength spectrum from 220-900nm, with the option to extend down to 190nm, a critical feature for meeting stringent film-thickness and index-measurement requirements down to 90nm geometries. The optics provide ellipsometric results that are free of optical noise and distortion over the entire wavelength range, enabling SpectraFx 100 to achieve very good system matching (0.001-0.003 index of refraction). Reflective design allows the use of a small spot size on product wafers (down to 40 x 40μm) to provide more extensive process monitoring and higher-rate sampling, eliminating the use of monitor wafers. Built on proven 300mm automation standards, SpectraFx 100 fully supports Semi E84, E87, E40, E90 and E94 requirements. KLA-Tencor Corp., San Jose, CA; ph 408/875-5473, [email protected], www.kla-tencor.com.

Click here to enlarge image

Fusion bond cluster
The fully automatic CL200 Fusion Bond Cluster is a production tool with process control for clean, dry, align, and bond in one closed chamber with specific process atmosphere. It processes two substrates simultaneously, while its closed chamber atmosphere produces high yield and high throughput without post-clean contamination. The CL200 enables high-yield fabrication of micromechanical devices such as acceleration, pressure and temperature sensors, optical data-processing devices, and microelectronic circuits. The CL200 cluster consists of a cleaning/aligning and pre-bond module, combined with automated material handling and a station for infrared inspection. It can be upgraded to a state-of-the-art production cluster system, with a maximum of five CL200 modules. If required, the system can also be used as a stand-alone cleaner/fusion pre-bonder. In the CL200 cluster, all process steps are carried out in one system with no additional wafer-handling between cleaning and bonding. The closed chamber creates a minienvironment with a controlled gas atmosphere for optimum process conditions. The process brings into contact two hydrophilic, mirror-polished, flat and clean wafer surfaces at room temperature. Van-der-Waals forces, created by chemistry at the bond interface, ensure the intimate surface contact necessary for the high-temperature fusion process. Suss MicroTec, Munich, Germany; ph 602/485-0963, [email protected], www.suss.com, www.suss.de.

Click here to enlarge image

300mm defect inspection
NanoUDI (Universal Defect Inspection) technology is designed to meet the new yield management requirements that are emerging as the industry switches to 300mm wafer processing. TheNanoUDI 9300, the first in the series, features an edge-grip wafer-handling system that allows inspection of both the front and backside of the wafer without wafer-surface contact. An advanced combination of high-efficiency illumination for inspection, high-resolution optics for macro-defect detection, and sophisticated image-processing ensures the detection of "killer" defects. The image-processing technology, coupled with the wafer-handling system, provides full wafer-inspection throughput >180 wafers/hour. Full 300mm wafer inspection means that the metrology tool can provide inspection of 100% of the wafer surface with zero edge-exclusion. The technology automatically detects macro and micro defects/particles and is designed for process tool integration. The NanoUDI 9300 system can be used in nearly every step of the wafer production process, including rough polishing, CMP, layering, photolithography, doping, die sort, and pre-packaging. Nanometrics Inc., Milpitas, CA; ph 408/435-9600 ext. 264, [email protected], www.nanometrics.com.

Click here to enlarge image

Dry clean system
SIERRA is an advanced dry clean system for 300-mm applications that delivers complete surface preparation solutions for front end of line (FEOL) and back end of line (BEOL) post-etch cleans. The system's integrated clean approach enables photoresist removal and post-etch residue removal in a single process chamber, simplifying the process flow for surface preparation applications (including copper dual-damascene interconnects). The SIERRA system leverages the production-proven 200mm PEP IRIDIA technology used by IC manufacturers for sub-0.18μm devices, with extendibility to the 0.10μm node and beyond. Offering good process and operational flexibility, SIERRA gives risk-free, best-of-breed surface preparation capabilities at low cost of ownership. Novellus Systems Inc., San Jose, CA; ph 408/953-4188, [email protected], www.novellus.com.

Filters and manifold
Disposable, five-inch Solaris SLR01 and SLR03 filters and the Solaris manifold reduce defect-causing particles, gels and agglomerates. The SLR01 filter meets small footprint requirements mandated for 300mm CMP applications and optimizes the dispensing of fine particle slurries throughout copper and STI processes. The SLR03 Filter manages larger particle slurries in oxide and metal processes. The Solaris filters' proprietary construction, with four inches of media specifically designed to retain gels and agglomerates, gives long service life. A self-venting feature allows microbubbles to flow through the filters and avoid potential slurry dry out. Mykrolis, Bedford, MA; ph 212/880-5287, www.mykrolis.com.

Active vibration control
STACIS 3000 incorporates innovative technology that significantly enhances stepper and other critical process tool productivity and yield. An active piezoelectric vibration control system, STACIS uses passive vibration isolation almost to cancel vibration across a broad frequency range. STACIS, which can be configured either for OEM integration or as a point-of-use vibration isolation system, is a six-degree-of-freedom system with a settling time of 0.2 sec for 10:1 reduction of stage-induced motion. The system provides 90% vibration isolation at 2Hz, and is 400x stiffer than a pneumatic isolator. Technical Manufacturing Corp., Peabody, MA; ph 800/542-9725 or 978/532-6330, [email protected], www.techmfg.com.

Click here to enlarge image

Patterning film for sub-50nm gates
Advanced Patterning Film (APF) is an innovative, easily strippable CVD hardmask solution that enables chipmakers to fabricate sub-50nm transistor gates and contact structures using standard DUV lithography. The proprietary APF technology, which is deposited with this company's Producer system, combines an amorphous carbon film with a thin DARC (dielectric anti-reflective coating) layer to provide the low reflectivity and high etch selectivity required to achieve superior CD (critical dimension) control in sub-100nm devices. The integrated sequential processing capability of the Producer system provides the performance essential for volume production, unlike batch systems that require multiple systems to deposit a composite film stack. Using the Ultra Trim process on the Silicon Etch DPS II Centura system, it was demonstrated that the APF hardmask open requires as little as 100nm of resist. Applied Materials Inc., Santa Clara, CA; ph 408/563-0647 or 408/748-5227, www.appliedmaterials.com.

Click here to enlarge image

Digital pressure controller
The MKS Type PC10A is a digital pressure controller that uses the DeviceNet communications protocol; it is a self-contained closed-loop electronic control system with the same footprint as a standard mass flow controller. It is used in a wide range of semiconductor processing applications, including CVD source gas delivery, wafer cooling, and loadlock pressure control. The PC10A is compliant with DeviceNet 2.0 and provides a seamless migration path for customers who are transitioning process tool control systems from analog to digital communications. The controller consists of a high-accuracy MKS Baratron capacitance manometer, a proportioning control valve, and digital control electronics. The PC10A can be digitally tuned for fast response by adjustment of gain, integral, and derivative (PID) constants. A wide range of Full Scale pressure ranges is available, from 10 to 1000 Torr, and 30 to 100 psia. MKS Applications Engineering Group, Andover, MA; ph 800/227-8766 or 978/682-4567, [email protected], www.mksinst.com.

Filter for wet clean processes
The UltiKleen Excellar Filter is designed for gas-generating aqueous-based chemicals such as SC1, SC2 and SPM. The filter is one of several products available for semiconductor wet cleaning processing. The nondewetting fluoropolymer membrane has been modified to enhance the effectiveness of wafer-cleaning chemistries from blinding the membrane pores due to gas bubbles. The filter incorporates the patented Ultipleat crescent-shaped membrane design that offers high flow rates, high dirt capacity, and low differential pressure. The filter has good particle retention and low extractables — vital for critical wafer cleaning. Pall Corp., Port Washington, NY; ph 516/801-9104, fax 516/484-3637, [email protected].

Noncontact temperature monitor
The NTM 450 is a high-accuracy and repeatability pyrometer designed to operate as a stand-alone unit or in conjunction with the NTM 500 emissivity-independent pyrometer. Based on the NTM 500's proven technology, it offers a low-cost solution for in situ noncontact temperature monitoring in PVD, HDP-CVD, RTP and other processes. Its compatibility with PDAs and other optional remote displays offers an easy user interface. CI Semi, San Jose, CA; ph 408/559-3047, [email protected], www.ci-semi.com.

Click here to enlarge image

Multitool head for die bonding
This six head "feather-touch" tool turret is designed for the MRSI-505 Assembly Work Cell, which delivers increased cycle rates in die bonding and component assembly processes. The enhancement makes six separate tools always available on the robot head, thus allowing tool change to occur in parallel with movement. In production, the selected tool indexes into position during X-Y positioning, such that the tool is available as soon as the system is ready to pick the next die. With this new feature, multiple die-specific collets can be used during processes such as eutectic die bonding, and when die-specific collets are needed for handling delicate GaAs and InP die. Tools on the six-head turret rotate over a full 360° of orientation to align die no matter how they are presented to the system. Die are placed with a closed loop force control, programmable from 10-2000 grams. MRSI, North Billerica, MA; ph 978/667-9449, fax 978/667-6109, www.mrsigroup.com.

Click here to enlarge image

Infrared spectroscopic ellipsometer
IRSE-300 is an automated, high-resolution, high-sensitivity infrared spectroscopic ellipsometer that is designed to characterize a wide variety of advanced materials and layers, such as low-k thin films, thin epitaxial layers, and Si:Ge stacks. The tool provides a powerful characterization capability for layer thickness, and material optical constants and electrical properties. IRSE-300 accommodates 6-, 8-, and 12-in. wafers and can be configured with open cassettes, SMIF, and/or FOUP for fully automated operation on unpatterned or patterned wafers. Sopra Inc., Westford, MA; ph 978/392-2089, [email protected], www.sopra-sa.com.

Defect inspection, review, analysis
This state-of-the-art e-beam defect inspection, review, analysis and characterization line combines KLA-Tencor's eS20XP e-beam inspection tool with this company's Expida 1265 DualBeam wafer analyzer to allow the process engineer to locate defects and perform structural root cause analysis without leaving the fab, and without sacrificing valuable wafers. In addition, going from initial inspection to precise root cause identification takes only a few hours. Electrical probing and blind, random cross-sectioning are replaced by automated inspection and defect-specific cross-sections. Fast e-beam inspection; automatic defect classification; precise defect location and navigation; and fast, accurate FIB cross-sections all contribute to considerable reductions in analytical turnaround time. FEI Co., Hillsboro, OR; ph 503/640-7500, [email protected], www.feico.com.

Inorganic BARC for litho processes
DUO Bottom Anti-Reflective Coatings (BARC) products are designed for use in semiconductor manufacturing to improve and extend photolithographic processes. Designed with an inorganic polymer backbone, DUO coatings possess an intrinsic etch selectivity to organic photoresist, and a tunable etch rate provides maximum process flexibility. DUO coatings, with formulations available for both 248nm and 193nm photolithography, absorb light passing through the photoresist layer. Absorption is required to remove scattered light reflecting back up into the photoresist, thereby improving the photolithographic process by eliminating standing waves, jagged edges, or reflective notching, and improving critical dimension (CD) control. Honeywell Electronic Materials, Sunnyvale, CA; ph 408/962-2098, [email protected], www.electronicmaterials.com.

Click here to enlarge image

In-line package inspection
The FalconPro is an in-line 3D vision inspection system for singulated area array packages, such as CBGAs and CCGAs. The fully automated FalconPro performs in-process inspection, which consists of inspecting IC packages directly after solder ball reflow, connecting easily to the line at the exit of the furnace via conveyor. The equipment picks the ceramic component from the boat carrier, presents the component to the inspection station, inspects the component, and sorts it into either "good" or "reject" trays. FalconPro features proprietary FMI (Fast Moiré Interferometry) technology, which uses a single light source and a single high-resolution digital camera to obtain x, y, and z data for each pixel in the field of view. The light source projects a grid onto the component in four sequential positions; the digital camera then captures x, y, and z data for each pixel, which translates to more than 300 data points/solder ball. Using these data points, FalconPro determines real 3D measurements using the volume pixel acquisition method, and measures it against pre-programmed inspection parameters, qualifying the package as "pass" or "fail". SolVision, Longueuil, Quebec, Canada; ph 450/679-9542, [email protected], www.solvision.net.

Click here to enlarge image

High-vacuum feedthrough/actuator
Chamberlink is a combination feedthrough and servo actuator that is capable of delivering precise motion control into a vacuum. The single unit design of the Chamberlink makes it an intrinsic part of the chamber, allowing the output shaft to operate in the vacuum without shaft seals, thus preventing leaks. It is available in three sizes: 10, 14 and 32. Output torques range from 10-300 in.-lbs with output speeds of 10-50 rpm. All Chamberlink products are rated for vacuum levels of 10-8 Torr. Harmonic Drive Technologies, Peabody, MA; ph 800/921-3332, [email protected], www.harmonic-drive.com.

Click here to enlarge image

Motion-and-machine controller
The BX2 motion-and-machine controller is a highly integrated, 64-bit RISC-based, multitasking, Ethernet capable, motion-and-machine controller. About the size of a cigar box, it contains up to eight axes of control, up to six on-board drives supporting various servo technologies up to 1600 watts, and 46 onboard digital input/output points. The controller's proven real-time operating system incorporates a comprehensive set of easy-to-program motion control features. A powerful syntax-free programming environment simplifies robust application development and tool integration. Built-in Ethernet supports controller networking up to 56 axes and a variety of external I/O expansion modules. Berkeley Process Control Inc., Richmond, CA; ph 510/236-3333, [email protected], www.berkeleyprocess.com.

Wafer-mapping sensor
This built-in wafer-mapping sensor increases system throughput and provides very good wafer transfer for 200/300mm semiconductor wafer-handling equipment. The built-in scanner maps the wafers in the cassette before transfer, reducing cost and increasing customer ROI. In addition, development in linkage configurations allows versatile arm design to adjust to different applications. This company's Global Positioning Robot Swap-Master (GPRS-M) features dual end-effector precision mechanisms and the latest in robot motion trajectory control software for a wafer-transport solution for processes requiring fast, reliable interface to two, three or four in-line 200/300mm FOUPs and open cassettes. The Swap-Master can significantly improve efficiency of wafer handling and processing without increasing axial velocity and acceleration. Genmark Automation, Sunnyvale, CA; ph 800/425-4299, www.genmark.com.

Click here to enlarge image

Spin coating module
The Spin Coating Module LSM 200 is available in two versions: bench mounted or stand-alone cabinet. It was developed for research labs as well as pilot production. The spinning cup is designed for substrates up to 6 in. or 5 x 5 in. The manual loaded substrates are held via vacuum, and a powerful motor provides a stirring speed up to 6000 rpm. The pressure/time controlled diaphragm dosing pump SP-177, with integrated suck-back function, handles a wide range of media viscosities up to 300 cPs. The pneumatic driven dispense arm is programmable for home and dosing position. Options available include an automatic cleaning of the bowl with solvent, etch cleaning, or a cartridge dispense system. SAWATEC Solutions AG, Balzers, Liechtenstein; ph 423/384-2652, [email protected], www.sawatecsolutions.com.

Click here to enlarge image

CMP pumps
The 620 Series pump is designed to transfer liquids such as aluminum hydroxide in the CMP process. Offering good flow rate stability and cost savings with reduced CMP slurry usage, the 620 Series can be integrated into plating, printed circuit board, resistor, silicon wafer, and transfer foil applications. It is suitable for environments as sterile as a Class 10 cleanroom. Maintenance requires <1 min, thanks to the snap-fit elements. The 620 pump's design also allows for a high pressure rating (60 psi) and good flow rate stability (99.5%). The 620 offers good metering performance, vacuum lift, and dry priming capability, and there is no possibility of back-flow. In addition, the 620 Series offers twin rollers for a maximum flow rate, as well as four-rollers for minimal pulsation. Watson-Marlow Bredel, Wilmington, MA; ph 800/282-8823, www.wmbpumps.com.

Alumina slurry monitor for copper CMP
Particle Measuring Systems has announced the addition of particle size distribution (PSD) for alumina slurry with the SlurryChek — a tool for continuous, real-time measurement of particles in undiluted slurry. The PSD information includes information on mean and mode particle sizes and the number of large particles above a user-defined threshold. In addition, a "concentration index" value for monitoring changes in percent solids is available. SlurryChek's software allows the user to set up process control alarm limits that notify the user of unacceptable particle size distribution shifts and prompt immediate action. SlurryChek measures the spectral transmission properties of the slurry at thousands of wavelengths to ensure the accurate characterization of changes in particle size distribution and percent solids. Particle Measuring Systems, Boulder, CO; ph 303/546-7336, fax 303/449-6870, www.pmeasuring.com.

Click here to enlarge image

Die attach system
The Easyline 8032 die attach system handles all metallic lead frame applications, such as QFN, MLP, SOIC, TSOP, etc., with a throughput of up to 9000 UPH and a die placement accuracy of ±38μm. It combines good process control with low cost-of-ownership. On a footprint of 1 sq m, this platform offers an independently programmable, closed-loop pick and bond cycle. The proven no-maintenance, air-bearing bond head technology and easy access to all parts give good system accessibility. Recipe-driven, with a fully motorized product changeover, it has the "best of class" conversion time. The system features a magazine input, rotary bond head and epoxy writing. Other options are available for snap cure epoxies with a "no clean" snap cure oven, wafer mapping, and reliable small-die handling. Alphasem AG, Berg/TG, Switzerland; ph 41/71-637-6363, [email protected], www.alphasem.com.

Integrated flow controller
The NT International Integrated Flow Controller is designed specifically for point-of-use chemical and slurry dispense systems, and on-demand chemical blending in the semiconductor industry. It performs closed-loop control using direct flow and pressure measurement. Available in flow ranges from 5 mLpm to 40 Lpm, its design offers: 1% accuracy and repeatability; patented differential pressure (DP) technology; quick flow control response time; a microprocessor-based measurement and control algorithm; control and monitoring in a single component; flow measurement with no moving parts; PTFE wetted surfaces and encapsulated internal electronics; and flow and pressure outputs for alarms and monitoring. NT International, Minneapolis, MN; ph 763/502-0200 ext 142, fax 763/502-0300, [email protected].

Nondestructive wafer measurement
By employing FTIR metrology combined with advanced optics and model-based analysis, FilmExpert provides nondestructive measurement directly on production wafers in numerous compound semiconductor applications, such as PINs, APD, lasers and LEDs. The FilmExpert produces exact results, even on IR-transparent wafers, which cannot be accurately measured with standard FTIR tools. MKS Instruments Inc., Andover, MA; ph 978/975-2350, [email protected], www.mksinst.com.

Click here to enlarge image

10mm valve island
This compact, lightweight, high-flow pneumatic valve island, the VM10, is backed by a reliability test specification conforming to Semi E10. It offers a space saving of up to 30% in Fieldbus applications because the electronics fit into the same space envelope as a standard multipole valve island. A 2 x 3/2 function is also available for piloting process valves used in wafer fabrication. With 260 valve options available and multiple wiring options, customers can choose from seven million possible configurations to specify units that meet their needs exactly. Flow rate of 0.4 Cv means that VM10 can be used in place of heavier, larger and more expensive 16mm and ISO valves. Made from a high performance engineering polymer, the VM10 combines strength and stability with low weight. Norgren, Littleton, CO; ph 303/794-2611, www.norgren.com.

Click here to enlarge image

Thermometry instrument
The m600 enables control of temperature in processes using RF, microwave and high voltage. Suitable for thin-film etch and deposition processes where temperature is a critical control parameter, the m600 provides precise and repeatable in-situ temperature measurement of targets such as wafers, electrostatic chucks, reactor showerheads, and chamber domes. Offering a measurement range of -100 to +330°C, the m600 is based on Fluoroptic temperature technology. This technology uses fiberoptic probes that are immune to the aggressive electromagnetic and high-voltage potentials encountered in semiconductor processes. Measuring 75 x 105 x 45mm, the m600 includes up to four measurement channels, analog outputs, and an RS-232 serial output within a convenient DIN-rail mounted enclosure. Luxtron Corp., Santa Clara, CA; ph 408/727-1600 ext 1902 or 1702, [email protected], www.luxtron.com.

Click here to enlarge image

Wafer loader
The Adept Wafer Loader is a fully automated wafer-handling solution for SMEMA-compatible screen/stencil printers that supports wafer sizes up to 300mm, including thinned wafers. Intended specifically for bump and semiconductor ball placement applications, the wafer loader is designed to provide high-precision, reliable wafer-handling and application flexibility. It is designed for scalable performance, managed process transitions, and long deployable lifecycles, resulting in lower cost of ownership. Through a set of options, many of which can be retrofitted at the customer site, the system provides maximum configuration flexibility. Full compliance with SMEMA and Semi standards ensures compatibility and easy integration with most current and future advanced packaging equipment. The system can be expanded and reprogrammed for use as a back-end cluster tool by adding an optional wafer interface for a reflow oven or other process tools. In addition, the Adept Wafer Loader supports optional wafer and lot tracking, and has a 14-inch monitor and keyboard with integrated GUI for easy operator use, maintenance, and troubleshooting. Adept Technology, San Jose, CA; ph 408/434-5021, [email protected], www.adept.com.

Click here to enlarge image

Opaque film metrology tool
The MetaPULSE opaque film metrology tool can meet all of the critical future metrology requirements for copper metrology identified by the International Technology Roadmap for Semiconductors. In addition to its ability to measure copper barrier films as thin as 40Å on monitor or product wafers, the second-generation MetaPULSE can now measure barrier thickness on the sidewalls of high-aspect-ratio, 50% dense, sub-micron line arrays. MetaPULSE-II also provides high-resolution post-CMP dishing and erosion profiles that accurately measure the copper thickness of these same array structures for 0.18μm linewidths and below. Measurements for these applications are made non-destructively, in 2 to 5 seconds, with better than 1% accuracy and repeatability, and can be made on copper line arrays in low-k or ultralow-k interlevel dielectrics. In addition, new techniques allow the MetaPULSE-II to characterize the mechanical compliance and adhesion properties of advanced low-k films, which can help predict their response to the stresses imposed by CMP. Rudolph Technologies Inc., Flanders, NJ; ph 973/691-5480, [email protected], www.rudolphtech.com.

Dual process energy generator
The CDX 6000 combines a DC generator with an RF generator to create a compact, versatile power supply that is suitable for plasma, flat panel, IC, and storage media applications. The CDX 6000 offers ease of control, small physical size, and the ability to withstand large changes of load impedance without failure or premature foldback. The DC section provides up to 2KW of programmable power, while the RF section can deliver up to 4KW with optional fixed or variable frequencies ranging from 20 KHz to 2 MHz, as well as an optional phase locked output, eliminating the need for a matching network in many systems. Comdel Inc., Gloucester, MA; ph 978/282-0620 ext 102, [email protected], www.comdel.com.