Issue



New Products


07/01/2002







Click here to enlarge image

Test-handling system
Pathfinder is a fully automatic wafer, die and package test-handling system that allows wafers to be tested even after they have been diced and thinned. Designed to maximize chip manufacturers' product quality and profitability by catching defects at any stage of the manufacturing process, the system can accommodate a wide variety of devices, including singulated and ultrathin wafers, as well as strips mounted on film in either plastic or metal frames. The Pathfinder system is also compatible with this company's process management software, facilitating real-time data acquisition and allowing for improved process analysis and control. Electroglas Inc., San Jose, CA; ph 408/528-3000, [email protected], www.electroglas.com.

Click here to enlarge image

MEMS stepper
This family of 5X i-line reduction steppers is targeted to micro-electro-mechanical-systems (MEMS) and other applications such as compound semiconductors and ASICs. Through a dedicated options package, the the SA 5200/45C and SA 5200/55C steppers can be optimized specifically for MEMS imaging. The SA 5200 MEMS stepper is a fully integrated combination of an SA 5200/ 45C or /55C system and the MEMS 5201 options package. This package is a family of selectable capabilities for volume MEMS manufacturing, including 3DAlign, 3DMetrology and 2DStitching. The new capabilities allow for double-sided processing and for thick oxide, thick epi or CMP processing without the need for realignment schemes, resulting in a simpler process flow. The SA 5200 MEMS stepper can also support focus-to-alignment-offset processing and large-die production through field stitching. In addition to MEMS imaging, the steppers are designed to serve as volume production tools for application markets such as micro-electro-optical-mechanical systems, and compound semiconductors and ASICs. The steppers can easily be configured to handle various substrates, including silicon, GaAs, and InP, and support wafer sizes ranging from two to six inches. ASM Lithography, Veldhoven, The Netherlands; ph 31/40-268-3938, [email protected], www.asml.com.

Click here to enlarge image

300mm single-wafer cleaning system
The 300mm single-wafer Oasis Clean system replaces traditional batch processing and can be used to perform as many as 50 critical cleaning steps needed to fabricate the transistor area of the chip while providing faster production cycle time and cleaning performance for sub-130nm manufacturing. It can remove virtually 100% of particles from both the front- and backsides of the wafer in <30 sec. In addition to offering higher tool utilization and simplified wafer management, Oasis is said to cut chipmakers' cycle time by up to 50% compared to batch systems. With a small footprint and single-wafer, multi-chamber architecture, the Oasis Clean system offers chipmakers a high throughput in a small footprint. Featuring horizontal spin processing modules with full-coverage megasonics and new clean chemistry, Oasis Clean's fast, single-step clean process provides efficient particle removal, low silicon and oxide loss, and minimal metallic contamination. The single-wafer design of the system allows a complete clean in each chamber and eliminates cross-contamination by providing fresh chemicals to every wafer. The system also enables chipmakers to reduce DI water consumption by an order of magnitude (10x) over batch systems. Applied Materials Inc., Santa Clara, CA; ph 408/563-0647 or 408/748-5227, www.appliedmaterials.com.

Click here to enlarge image

Automated parametric test
The Model S600DC/RF automated parametric test (APT) is a single-insertion DC/RF parametric test solution for probing communications and high-speed digital devices at the wafer level. When used with a suitable test structure layout, the system can execute independent DC and RF tests in parallel on separate probes, greatly reducing the time and cost of testing on today's advanced devices. The system incorporates a vector network analyzer (VNA) and DC/RF probe card technology, supports "lights out" factory automation, and is compatible with both 200mm and 300mm probers. It allows single DUT testing up to 10GHz at rates up to ten times faster than typical rack-and-stack systems using separate DC and RF test operations. Moreover, RF connections embedded on the test head and per-pin electronics eliminate manual set-up and supply very high precision and repeatability. The probe card loads in the same way as for DC operation, and the system's RF capabilities can be accessed through a transparent interface, allowing greater ease of use. Keithley Instruments Inc., Cleveland, OH; ph 888/534-8453, [email protected], www.keithley.com.

Click here to enlarge image

Metrology systems
By combining a simultaneous multiple angle of incidence laser ellipsometer and an integrated DUV reflectometer with photomultiplier detector, the S200/S300-ultra metrology systems can characterize the nitrided gate oxides predicted by the ITRS for 2003. Measurements of thickness and nitrogen concentration for dielectric films as thin as 15-20Å can be made accurately and repeatably. The ITRS further predicts that high-k dielectrics will replace nitrided oxides in 2006. Characterization of high-k materials such as ZrO2 has been demonstrated with the S-ultra system with the throughput and repeatability required for production monitoring. The ITRS also predicts that metal gate electrodes will replace polysilicon. By combining the patented PULSE Technology for opaque film measurements with S-ultra's transparent gate measurement techniques, metal electrode gate stacks can be characterized with high precision and reliability. The same combination of techniques will provide a complete metrology solution for SiGe, including measurements of SiGe thickness, Ge concentration, Ge profile in graded layers, local stress in the SiGe lattice, and dopant concentration. Rudolph Technologies Inc., Flanders, NJ; ph 973/448-4316, [email protected], www.rudolphtech.com.

Click here to enlarge image

Plasma treatment reactor
The Trias SPA-300 is a 300mm plasma treatment reactor for nitridation of gate dielectrics for the 100nm node and beyond. Additional future applications will include thin and thick-film oxidation and refinement of various films, including high-k materials. The reactor is based on Slot Plane Antenna (SPA) plasma-generation technology, which creates a high-density, low-electron temperature plasma with very good uniformity, thus enabling stable, damage-free plasma-processing without subsequent annealing. SPA plasma nitridation can also be combined with ultrathin oxide formation to create a reliable, production-worthy solution for high-quality gate dielectrics. With this technology, SPA achieves electrically equivalent oxide thickness of 1.2nm with substantial leakage reduction. Tokyo Electron America Inc., Austin, TX; ph 800/828-6596, webmaster@ aus.telusa.com, www.telcustomer.com.

Click here to enlarge image

3-D inspection system
Model 8810 is a high-speed 3-D tray inspection system for BGA and CSP array devices. It uses a proprietary smart sensor, allowing device inspection at higher speeds and better resolution. The modular design of the platform provides a separate station for mark inspection so that the 3-D sensor is efficiently used for inspecting devices. An intelligent GUI allows easy set-up for high-volume production, as well as allowing customized data reports for SPC. The 8810 has an integrated IC handler system with three pick-up heads for maximum sorting speed. With an auto-pitch PNP/Motorized Z, this handler does not require adjustment during device changeover. Available IC measurements include coplanarity, true ball height, warpage, position error, pitch, ball width, and missing balls. The U-shaped transport system reduces the in-line footprint of the machine. For minimum use of floor space, it allows input and output to be contained on the same side of the tool, offering maximum operator convenience. Electro Scientific Industries Inc., Portland, OR; ph 503/671-5357, fax 503/671-5533, [email protected], www.esi.com.

Click here to enlarge image

Low-temperature annealing system
The SAO-150/200 stacked annealing oven system is designed for low-temperature annealing (up to 500°C) applications based on stacked hotplate technology. Two models are available: AP for atmospheric pressure, and LP for low pressure or O2 free ambient. The applications include Cu anneal, SOG bake/cure, low-k film anneal, polyimide bake, Al sintering, and H2 anneal. The system can process five 150mm or 200mm wafers simultaneously by virtue of its patented stacked hotplate design. The system provides very good temperature repeatability and uniformity on the wafer. It also provides great lot-size flexibility, from 1-26 wafers (1 cassette). It can process 40 wph for a 5 min annealing step. It is designed to be highly energy-efficient (5 kW max) and compact — 600mm (W) x 1200mm (D) x 1700mm (H). The system is stand-alone and does not require any additional cleanroom space. WaferMasters Inc., San Jose, CA; ph 408/451-0852, fax 408/451-9729, [email protected].

Click here to enlarge image

Trench measurement tool
The n&k Analyzer 3000-TM, for trench measurements, uses a special type of broadband spectrophotometry specifically developed for high-throughput, accurate, and nondestructive real-time measurement of film thickness and critical trench parameters. It measures directly on the product area (no special test structures required) and has been successfully applied to devices containing trenches ranging from 0.3-10μm in depth. The n&k 3000-TM exhibits very good repeatability and has been shown to correlate very closely with AFM and SEM measurements. In addition, recipes for new trench geometries can be set up quickly by almost any operator. The optional trench measurement capability utilizes the same DUV-NIR optical system as the current n&k 3000 & 1700 analyzers and is available as a software upgrade to any existing 3000 or 1700 system in the field. n&k Technology Inc., Santa Clara, CA; ph 408/982-0840 ext. 14, [email protected], www.nandk.com.

Click here to enlarge image

Semiautomatic probe station
The S300 semiautomatic probe station for 300mm wafers, combined with Agilent Technologies 429A, creates a powerful tool for the design, qualification, quality control, and testing of electronic components. The drive toward the 0.1μm CMOS technology node has led to gate oxide thickness of <2nm. Because of the high tunneling currents of these ultrathin gate oxides, traditional C-V measurements at <1 MHz no longer provide the necessary accuracy and repeatability. This on-wafer measurement solution addresses C-V characterization of ultrathin gate oxides. The system, used with this company's accessories, provides capacitance measurements to 110 MHz. Cascade Microtech, Beaverton, OR; ph 800/550-3279 or 503/601-1000, www.cascademicrotech.com.

Click here to enlarge image

Nanotopography measurement
The Columbus wafer nanotopography measurement system provides fully interactive silicon wafer metrology for high-resolution analysis of nanotopography, wafer shape, flatness, and thickness from a single station tool. Real-time data provides instant feedback for CMP process monitoring and control with user-definable data manipulation, spatial filtering, and accept
eject criteria. Configurations are available for process development, process control, and high-throughput production. WaveFront Sciences Inc., Albuquerque, NM; ph 505/275-4747, [email protected], www.wavefrontsciences.com.

Click here to enlarge image

Ultrathin-wafer mounter
The PM-8500 wafer mounter with integrated peeling function is designed for paper-thin 50μm 6- and 8-in. wafers. Paper-thin and even extremely warped wafers can be safely mounted by this new handling concept. For single-application chips such as smart cards, a thickness of 150μm is sufficient. For special applications such as power chips, however, ultrathin wafers and flexible chips are necessary. PM-8500 is designed to accomplish these requirements without altering the established wafer thinning process. The special support wafer tape allows the production of chips down to 50μm. The PM-8500 system tolerates wafer-warping in the range of 10,000-15,000μm. The special backgrinding tape achieves a reduction of wafer-warping in a standard cassette from 30,000-10,000μm. With these wafer mounters, the wafer's front side is protected during all handling steps and there is no need to clean the front after backgrinding. The tape is removed during the final process step within the system. Nitto Denko, Tokyo, Japan; ph 49/89-45-111-146, [email protected], www.macrotron-pt.com.

Click here to enlarge image

FT-IR metrology tool
The ECO 3000 is a 300mm, high-performance, FT-IR metrology tool used for measuring dopant concentration levels in dielectric films (BPSG, PSG, FSG, etc.), hydrogen levels in silicon nitride films, epitaxial film thickness, MEMS device thickness, and substitutional carbon and interstitial oxygen levels in silicon wafers. Features of the tool include: fully automated handling of wafers up to 300mm; a fully integrated controller with a second control station in the rear of the tool to accommodate bulkhead fab architectures; support for both FOUP and cassettes on the same tool; stainless steel construction; flexible measurement options from single-point measurements to complete wafer-mapping options; high throughput with configurations capable of measuring >100 wph with 5 point measurements; the ability to measure epitaxial film thickness from 300-7500nm; an easy-to-use, push-button touchscreen interface with optional keyboard/trackball control; support of both ASTM and JEIDA standards for measurement of substitutional carbon and interstitial oxygen; and SECS/GEM/HSMS communications software. Thermo Nicolet, Madison, WI; ph 608/327-6756, [email protected], www.thermo.com/thermonicolet.

Click here to enlarge image

Immersion clean system
The fully automated, modular MAGELLAN 300 STG immersion clean system is designed to address the challenges of 300mm manufacturing. The system offers rapid cycle time, versatility, and high performance in a small footprint. The Magellan system features half-pitch, cassetteless processing of up to 52 wafers/batch. Conventional concentrated chemistries can be used in the system, as well as advanced dilute, ozone, and gas-to-chemical chemistries that reduce cycle time and EHS impact. Good flexibility, control, and performance are a result of features such as the patented mixing manifold, reliable robotics that can handle a complex product mix, and the patented STG (Surface Tension Gradient) rinse/dry technology. FSI International, Chaska, MN; ph 952/361-7916, [email protected], www.fsi-intl.com.

Click here to enlarge image

Gold bumped wafer inspection
The proprietary bright field/dark field lighting technology of the WAV 1000Au will consistently detect gold bump nodules and craters. These defects are detrimental to the probing and final circuit connection of gold bumped devices, and are critical concerns for test floor and outgoing fab QC managers. The WAV1000Au inspects for the full array of bump criteria: size, shape, scratches, damage, flaking, voids, nodules, craters, bridging, and absence/presence. An inspection algorithm appropriates user-defined spec values to measure each bump. The 2D works in conjunction with the 3D interferometry scan, offering accuracy of ±1μm for height measurements, assuring outgoing QC a superior coplanarity assessment independent of surface plating finishes. The WAV 1000Au is a complete system for back-end wafer process checks. It was designed to inspect after the final passivation layer, pre- or post-probing. Pattern defects, scratches, contaminants, and chemical stains are easily detected to 1.8μm. Algorithms assist in ink dot position and quality inspection. Probe mark features are scrutinized down to 0.5μm for placement quality and percent probed/bond pad. Semiconductor Technologies and Instruments Inc., Plano, TX; ph 972/461-0393 or 469/951-1580, [email protected].

Click here to enlarge image

Wafer flatness/shape metrology
WaferSight is a wafer flatness and shape metrology tool for 300mm production. System design enables wafer manufacturers to meet ITRS requirements down to the 35nm generation. WaferSight uses noncontact optical interferometry to simultaneously measure the height variations on the front and back surfaces of the double-sided polished 300mm wafers. These two ultrahigh-resolution surface height maps are combined to produce the wafer flatness and shape data. Flexible, recipe driven, multitasking production software processes the wafer flatness and shape information to provide Semi Standard data reports for all global and site parameters. This modular system offers a complete array of factory automation options, including standard 100% edge grip wafer handling. ADE Phase Shift, Tucson, AZ; ph 520/573-9250, [email protected], www.phase-shift.com.

Click here to enlarge image

High-volume, high-mix IC test
The Integra FLEX is a high-volume, high-mix IC test solution with a Universal Slot architecture combined with self-contained SOC Tester Per Pin instruments that allow production managers to match test capacity to device coverage needs. Spanning multi-site DFT to standard analog to SOC, Integra FLEX will change the mix of equipment needed on test floors. Independent Time Tracks per instrument and Background DSP processing boost efficiency, giving FLEX high site count concurrent test capability. Multi-level IG-XL5 software helps test engineers get products to market sooner by combining low level tester control with a template authoring environment. Unlike conventional testers with centralized clocks and restricted instrument arrangements, Integra FLEX is more like a "tester array." Universal slots allow easy system reconfiguring. Each instrument module is independent, with local clock, sequencer, and dynamic setup. Parallel DC-to-microwave analog, high-density CMOS differential digital, scan and memory test features all reside in Integra FLEX's air cooled test head. Teradyne, Boston, MA; ph 781/487-5316, [email protected], www.teradyne.com.

Click here to enlarge image

SOI production bonding system
The EVG 850 SOI bonder offers a new technology developed for high-volume 300mm SOI wafer production. It integrates all the essential process steps for manufacturing high-yield SOI bonded wafers. The system combines megasonic cleaning, alignment, pre-bonding, and infrared inspection, all in a Class 1-compatible environment. This bonder is designed to work in high-throughput production environments. It also allows for quick and easy changeover from 300mm to 200mm wafer sizes. SOI wafers represent a new basic material for building faster and higher-performance ICs for the microelectronics industry. EV Group Inc., Schärding, Austria; ph 401/784-0008, [email protected], www.evgroup.com.

Thin film characterization
The FilmTek 4000 metrology system presents good capabilities in the characterization of thin films used in the semiconductor and optoelectronics industries. The FilmTek 4000 robotic system provides the same capabilities for high-volume production fabs. Both TE(λ) and TM(λ) components of film index can be measured with a resolution of 2E-5. This capability is essential in characterization of low-k films that may exhibit index (and therefore dielectric constant) anisotropy. In addition, thickness, k(λ), energy band gap, and other film properties can be determined simultaneously with the highest resolution and repeatability. Film thickness from a few angstroms up to several hundred microns can be determined independently from the film index, thereby allowing measurements on films composed of totally unknown materials. The patented methodology of FilmTek 4000 systems is based on reflection spectrophotometry at normal and grazing angles. One advantage of the system is its ability to accept and interface with a heating chuck, allowing for measurement of film properties vs. temperature. This information is valuable for characterization of doped oxides and organic films such as the materials used in low-k and optoelectronics applications. Scientific Computing International, Carlsbad, CA; ph 510/301-6128, [email protected], www.sci-soft.com.

Click here to enlarge image

Atomic force microscope
The FRT MicroGlider AFM combines two high-resolution measuring sensors for the investigation of surface topography. A noncontact, optical sensor performs rapid topography measurements on sample sizes ranging from 200 x 200μm to 350 x 350mm using a precision air bearing stage with magnetic linear drives. Scanning areas are defined using a positioning camera. The second sensor, an AFM head with scanning ranges from 20 x 20μm to 80 x 80μm, is mounted on a separate, independent vertical axis with known offset. The sample is positioned under the AFM head, using either the positioning camera or automatically by selecting a position in a previously recorded topography image. The MicroGlider AFM is suited to R&D, quality control, and production control applications for topography, roughness, profile and microstructure characterization measurements. FRT of America LLC, Wallingford, CT; ph 203/949-1044, [email protected]

High-vacuum dry pumps
The new compact EPX vacuum dry pumps enhance performance and reduce cost of ownership. Using a proprietary protected mechanism, they can pump from atmosphere to ultimate pressures of <7 x 10-7 Torr. The EPX pumps offer fine performance in a modular package and can be integrated onto a process tool or mounted remotely. Based on the IPX range, the EXP pumps offer a lighter and smaller package and require only 1.4 KW of power. Integrated electronics provide simple communication connections to the process tool. BOC Edwards, Wilmington, MA; ph 800/848-9800, info@bocedwards, www.bocedwards.com.

Click here to enlarge image

Plasma etch and deposition
The Oracle plasma etch and deposition system is a versatile and cost-effective cluster system consisting of two components: a central vacuum transport (CVT) and up to three "Rollaway" process reactors. The "Rollaways" can be docked to the CVT in a cluster configuration or operated independently. The Oracle can be configured for the lab environment with single wafer loading or for full production with vacuum cassette elevators. Trion Technology, Tempe, Arizona; ph 480/968-8818 ext 15, [email protected], www.triontech.com.

High-performance BGA substrate
The BN300 has high Tg (300°C), which is higher than the melting point of Sn/Ag. It also has smaller warpage, smaller CTE (Z axis), and higher flexural modulus strength than BT, making BN300 BGA substrates suitable for flip chip applications, MCMs, and high-reliability requirements (JEDEC Level 2&3). Mitsui Chemicals America, San Jose, CA; ph 408/487-2893, [email protected].

low-k cleaning and resist removal
The Aspen III Highlands is an advanced 200/300mm system designed for low-k cleaning and high-dose implant resist removal for volume production of devices down to 0.15μm. Built on the production-proven Aspen platform, the tool features a patented ICP technology for good uniformity and process control. The system's modular design allows for quick upgradability and extendability, and its dual wafer processing capability provides very high productivity. The Highlands' ability to reduce operational steps simplifies integration and provides customers with a low COO. Mattson Technology, Fremont, CA; ph 510/492-6422, [email protected], www.mattson.com.

Wet etch station
Constructed of CP7-D (FM 4910) self-extinguishing polypropylene, the LTC WS-1000 Series Spin-Process Stations use multiple microcontrollers to assure consistent results. Programming is easy and intuitive, featuring sealed keypads with multiline backlit LCD displays. Processes are stored in onboard nonvolatile memory and can be uploaded to or downloaded from a standard PC COM Port. Typical processes for the WS-1000 include temperature-controlled etch for silicon, metal, nitride, etc., SC1 or SC2 cleaning, solvent cleaning, reactive sulfuric/peroxide stripping, temperature-controlled developing, and rinsing/drying. Laurell Technologies Corp., North Wales, PA; ph 215/699-7278, [email protected], www.laurell.com.

Click here to enlarge image

Measurement of metal film thickness
MESEC is a new metal film metrology instrument for copper seed and electroplated copper layers on 200 or 300mm wafers. Designed for use in PVD, CVD, plating, and CMP equipment applications, the MESEC unit directly evaluates device wafers using the noncontact, nondestructive eddy current detection method. Copper films with thickness in the range of 0.03-5μm can be measured with high speed, high accuracy, and at low cost. The proprietary amplifier circuit design in the MESEC unit enhances the signal-to-noise ratio, resulting in improved measurement accuracy. The MESEC BIT is designed for in-situ measurements in thin film deposition systems and surface preparation equipment. The MESEC SAT is a free-standing metrology instrument that measures any thin conductive metals or alloy films (Al, Cu, AlCu, etc.) on silicon wafers. ULVAC Technologies Inc., Methuen, MA; ph 978/686-7550, [email protected], www.ulvac.com.

Reticle manufacturing software
CyberMask is a proprietary software suite that facilitates a seamless interface with chipmakers to receive and prepare IC design data for reticle manufacturing. By automatically processing semiconductor design data, CyberMask successfully reduces front-end lead-time by more than 50%, increasing manufacturing efficiency and reducing manufacturing cycle times, defects and costs. CyberMask works in conjunction with MaskTrac — this company's proprietary and fully automated production control software system — to directly upload a semiconductor manufacturer's Semi P10 data into MaskTrac. Photronics Inc., Brookfield, CT; ph 203/775-9000, fax 203/775-5601, [email protected].

Click here to enlarge image

Plasma processing systems
PlasmaSTAR plasma processing systems represent a new concept in barrel plasma processing. The systems are based on a modular design concept. Starting with a universial base unit, multiple electrode modules are available for easy insertion into the base unit. Other features include auto RF matching, multiple pumping options, computer-controlled touchscreen operation, and field-proven components and process recipes. The system will accommodate the processing of 200mm or smaller substrates (for single wafer RIE processing, 300mm wafers are readily processed). With the PlasmaSTAR's wide selection of chamber and electrode configurations, the system can satisfy a range of plasma processing conditions, from simple surface cleaning to sophisticated submicron RIE etching. Typical applications include: plasma descumming, photoresist stripping, anisotropic and isotropic etching, passivation etching, polyimide etching, hybrid cleaning, pre-bond cleaning, package cleaning, failure analysis, polymerization, and surface treatment. Axic Inc., Santa Clara, CA; ph 408/980-0240, [email protected], www.axic.com.

Click here to enlarge image

All-metal seal flowmeters
The Hastings Model 300 all-metal seal flowmeters are designed for accurate measurement of mass flow without corrections or compensation for gas pressure and temperature. Accuracy is rated at ±0.75% of full scale; surface finishes from 32 RA to 10 RA are available. Some specifications are: semi-standard dimensions; response time up to 0.30 sec (setting time); large diameter sensor tube; and operating pressures to 500 PSI. Products are inherently linear, and no linearization circuitry is employed. Output is linear for large overflow; a modular sensor is employed and the unit features low thermal drift. Teledyne Hastings Instruments, Hampton, VA; ph 800/950-2468, [email protected], www.hastings-inst.com.

TOC measurements
A-1000XP is designed for demanding TOC measurements in semiconductor ultrapure water. It provides parts per trillion measurements and can accurately report TOC levels to 0.1 parts per billion with a resolution of 0.001 ppb. A-1000XP is designed to operate with low dissolved oxygen water systems. Anatel Corp., Loveland, CO; ph 970/663-9760, [email protected], www.anatel.com.

KrF and ArF scanners
Canon FPA-6000ES5 (KrF) and FPA-6000AS4 (ArF) scanners both have 0.85NA optics and are built on the new F2-capable 6000 scanner platform which features 500mm/sec scanning speed, giving throughput to 140 wph (300mm). In addition to providing the stability required for 65nm ArF node lithography, the new 6000 platform allows a wide range of illumination modes, has highly intuitive graphical user interface and new job file creation software, and incorporates 300mm production line automation features, including reticle SMIF. Canon USA, Semiconductor Equipment Div., San Jose, CA; ph 408/468-2000, [email protected], www.usa.canon.com/steppers.

Click here to enlarge image

DPSSL technology particle counters
The Met One R8801 and R8901 0.1μm particle counters, using new diode-pumped solid state laser (DPSSL) technology, offer considerable benefits for continuous monitoring. Operating off low-voltage DC, DPSSL technology provides the particle counter with sensitivity, stability, cool operation, and reduced weight and size. Combined with the low flow rate of 0.1 SCFM, the R8801 and R8901 are well suited to sampling within loading stations and other minienvironments. The counter's low weight, compact size, stainless steel enclosure, and optional mounting plate provide for easy installation in critical areas, making the Met One R8801 and R8901 highly suitable for particle monitoring in 300mm wafer fabs. Met One/Pacific Scientific Instruments, Grants Pass, OR; ph 541/472-6519, [email protected], www.particle.com.

Automatic stop for STI
Designed for shallow trench isolation (STI), MicroPlanar STI2100 automatically stops removing material when planarization is reached. The patented formula contains cAiP, which stands for "chemical Activator, inhibitor, Planarizer," the key component in the slurry formulation that enables the TopCut and AutoStop product characteristics. The cAiP component allows the slurry to preferentially remove the protruding topography, or active area in a STI pattern, without dishing the recessed, or trench, area. This is referred to as TopCut. The formulation also features AutoStop, which means that the process automatically stops removing the fill oxide when planarization is reached, giving a high tolerance to overpolishing. EKC Technology Inc., Danville, CA; ph 510/784-7514, [email protected], www.ekctech.com.

Extreme sealing materials
The Parofluor ULTRA series consists of new perfluorinated compounds, including ULTRA FF200-75, ULTRA FF350-75, and ULTRA FF500-75, which retain their sealing capabilities in extremely high temperatures (up to 320°C/608°F continuous for ULTRA FF200-75). They are resistant to a wide variety of chemicals, including organic and inorganic acids, bases, amines, steam and hot water, ketones and aldehydes. Parofluor ULTRA materials can be formed into O-Rings, molded shapes, metal
ubber composite seals, and other sealing configurations. Parker Hannifin Corp., O-Ring Div., Lexington, KY; ph 800/272-7537, [email protected], www.parofluor.com.

Click here to enlarge image

Wafer-handling software
These upgraded software packages for the RC-2005 and RC-3005 wafer-handler alignment systems (for 200 and 300mm wafers) enhance system efficacy and ease-of-use for engineers and technicians who need to assess robot-arm position and achieve precise, repeatable alignment settings across a wider range of robot types and throughout a process toolset. Using the system, an engineer can determine a robot's alignment and find optimum "teach values," then propagate those values to other robots, improving fab-wide interoperability. Serving as predictive-maintenance solutions, the software includes capabilities for tracking alignment data over time, supporting trend analysis and potential "early warning" of failures, numeric readouts supporting robot adjustment, "Auto-Run" software accelerating system start-up, and upgrade path extending usability over a wider range of robots. MicroTool, Colorado Springs, CO; ph 719/471-9888, [email protected], www.microtool.net.

Click here to enlarge image

Fluoropolymer diaphragm valves
Meeting or exceeding Semi Standard F57-0301 for ultrahigh-purity polymer system components, the new DRP series ultrahigh-purity fluoropolymer diaphragm valve is designed for use in many applications, including aggressive CMP slurry, acid, chemical, and ultrahigh-purity DI water delivery systems. All wetted parts are made from DuPont Teflon modified PTFE. The patented design of the DRP series valve helps minimize entrapment areas and enhances diaphragm performance. A hemispherical valve cavity and unique diaphragm shape enable rapid and thorough cleaning of all wetted surfaces. The shape of the modified PTFE diaphragm is optimized to minimize stress during cycling and to promote durability, seal integrity, and long cycle life. Swagelok Co., Solon, OH; ph 440/349-5934, [email protected], www.swagelok.com.

300mm wafer handling
The Angle Slot Quartz Boat resolves crystal-slip problems in 300mm vertical furnaces. The 3° angle of the wafers keeps them parallel to each other and at precise, equal distance, enhancing wafer-temperature uniformity and gas flow dynamics between wafers. The boat improves process uniformity in oxidation, CVD, diffusion, and plasma operations, and eliminates wafer rattling, chipping, scratching, jamming, and breakage. The ergonomic, Dual-Grip Side Lift Handle lifts contiguous quartz or SiC boats of 200mm or 300mm wafers, utilizing two pistol-type grips. ASQ Technology Inc., San Clemente, CA; ph 949/498-4000, [email protected], www.asqtech.com.

Click here to enlarge image

Gas detectors
The LIFELINE II range of electrochemical and catalytic gas detection products offers a wide range of gas sensors, additional diagnostics, disposable sensor cartridges, a keypad interface, built-in relays, 4-20mA, or LONWORKS. Four versions of LIFELINE II monitors cover almost all semiconductor gas applications. Local units operate passively. Advanced design and an innovative duct adapter allow remote sensors to operate at distances up to 330 feet (100m) from transmitters. Extractive style units draw samples from up to 50 feet (15m) away and regulate, monitor, and report flow loss in more demanding applications. Pyrolyzing units detect NF3 while monitoring flow and heater performance. All units feature a compact footprint and easy maintenance. Gases monitored include H2 (ppm or % LEL levels), hydrides, mineral acids, Cl2, CO, TEOS, O2, F2, NO, and NH3. Zellweger Analytics Inc., Lincolnshire, IL; ph 800/323-2000, [email protected], www.zelana.com.

Liquid chemical delivery system
Unichem 2900 is a liquid chemical delivery system that supports a wide range of liquid CVD source chemicals, including low-k materials, TEOS, and dopants, as well as TiN sources. It is available in either dual- or single-canister configurations for uninterrupted chemical supply, and it can support up to four CVD tools. The system features programmable, fully automatic control for "hands off" valve sequencing for purge and canister change. The system controller features a touchscreen user interface, and the modular PLC design allows CVD tool interface via various communications protocols. ATMI, San Jose, CA; ph 408/526-9400, [email protected], www.atmi.com.

Click here to enlarge image

In-line dispensing system
The Axiom X-1020 is a mid-range inline dispensing system for semiconductor package and printed circuit board assembly. It is suitable for applications such as flip chip and CSP underfill, dam and fill, solder paste, and thermal compounds. The Axiom X-1020 uses a Controller Area Network (CAN) bus, a new serial bus architecture of distributed processors. A processor mounted directly on the dispense head controls the valve, needle heater, height sensor, lighting, and associated I/O. A second controller manages all of the conveyor-related functions, including the width and belt motors, substrate heaters, all conveyor sensors and pneumatic actuators, as well as the SMEMA interface. Asymtek, Carlsbad, CA; ph 760/431-1919, [email protected], www.asymtek.com.

Photoresist stripper
ALEG-820 is a solvent-based, copper and low-k compatible photoresist stripper. It is highly effective for removing heavily hardened resist, especially on structures incorporating tantalum or tantalum nitride. Because of its compatibility with both copper and most low-k dielectrics, ALEG-820 can be used effectively as a positive resist stripper and etch/ash residue remover in copper and low-k technology, including copper damascene processes. Mallinckrodt Baker Inc., Phillipsburg, NJ; ph 908/859-9359, [email protected], www.mallbaker.com.

Ionizer gun
Alpha Clean II is an ionizer gun that effectively neutralizes static in cleanrooms. Equipped with the Nuclecel ionizing cartridge, it emits a powerful stream of ions, quickly neutralizing electrostatic charges and eliminating attraction of contaminants. Electronics-grade nitrogen or CDA is ionized and the ion stream is always balanced (±0 V) at the source, eliminating the need to calibrate or maintain a minimum working distance. Optional outlet filters of 0.2 or 0.5μm are also available. NRD LLC, Grand Island, NY; ph 716/773-7634 ext 339, fax 716/773-7744, [email protected].

Click here to enlarge image

In-line digital display
Model 327 Micro-Display, designed to meet industry requirements for smaller footprint devices, has a rotatable face for 360° viewing, low power consumption, and an easy-to-read back-lit 0.25-in. LCD display, making it suitable for use in high-density modular "block" gas sticks and panels required for 300mm tools. Model 327 is calibrated to convert the transducer's 0-5 VDC, 0-10 VDC, or 4-20 mA output to a viewable 3-1/2 digit display. Its very small 1-⅛ in. footprint allows easy in-line installation between the pressure transducer and the user's power supply. The front face of the display rotates 360° for viewing in any of four orientations. Front access to the multi-turn zero and span potentiometers, switchable PSI and kPa pressure units, and pressure ranges from 10-200 PSI full scale complete the design. Setra Systems Inc., Boxborough, MA; ph 800/257-3872, [email protected], www.setra.com.

Click here to enlarge image

Butterfly valve for particle-free isolation
This new butterfly valve has a patented closing mechanism that takes place in two steps, frictionless rotation and tilt; it is virtually particle-free. The metal-to-metal contacts of the mechanism are outside the vacuum area, ensuring that the valve mechanism is protected against influences from the process. If the valve is used for pump isolation, or for process systems, transfer chambers or basement installations, it can be heated to 150°C. The valve is available in the sizes DN 63 to 200mm. VAT Inc., Woburn, MA; ph 781/935-1446, [email protected], www.vatvalve.com.

Rack-mountable cooling systems
These five 19-in. rack-mountable cooling systems provide up to 2100 W of cooling capacity. They are available with copper, stainless steel, and aluminum heat exchangers, and they are compatible with cooling fluids such as water, deionized water, EGW, and oil. The systems are used in a variety of applications, including cooling lasers, semiconductor capital equipment, and analytical instruments. Three cooling capacities — 500, 1300 and 2100 W — are available with a variety of electrical and pump options to customize the system. Lytron Inc., Woburn, MA; ph 781/933-7300, [email protected], www.lytron.com.

Click here to enlarge image

FTIR gas analyzer
The FG-100 Series FTIR gas analyzer analyzes a wide variety of substances, such as greenhouse gases and PFCs. A large gas spectroscopy library (more than 260 entries) is available. The FG-100 Series can be used to monitor the performance of abatement systems, or to develop CVD and etching processes. It also facilitates development of PFC substitutes and enables the continuous measurement of ambient gases in cleanrooms. Other applications include quality control for gas processing operations and development of PFC recovery and decomposition systems. Horiba/STEC, Austin, TX; ph 512/836-9560 ext 150, [email protected], www.horiba.com.

Click here to enlarge image

Dual process energy generator
The CDX 6000 combines a DC generator with an RF generator to create a compact, versatile power supply that is suitable for plasma, flat panel, IC, and storage media applications. The CDX 6000 offers ease of control, small physical size, and the ability to withstand large changes of load impedance without failure or premature foldback. The DC section provides up to 2KW of programmable power, while the RF section can deliver up to 4KW with optional fixed or variable frequencies ranging from 20 KHz to 2 MHz, as well as an optional phase locked output, eliminating the need for a matching network in many systems. Comdel Inc., Gloucester, MA; ph 978/282-0620 ext 102, [email protected], www.comdel.com.

Click here to enlarge image

Vibration-isolation workstations
Model 2000 Series Vibraplane workstations allow infinite adjustment throughout a 12-in. range, permitting users to raise or lower the tabletops to the most convenient level. A user-controlled remote activates an electro-hydraulic mechanism for smooth height adjustment. Vibration-isolatiion effeciencies for the 2000 series at maximum load can approach 99% for vertical and 95% for horizontal. The workstations feature a proprietary dual-chamber compressed-air system for good vibration damping and the Active Air Suspension system to maintain a pre-set "zero deflection" level and compensate for load changes. Units are available in a variety of sizes with a selection of tabletops. Weight capacities range up to 1300 lbs. Kinetic Systems Inc., Boston, MA; ph 617/522-8700, www.kineticsystems.com.

Click here to enlarge image

Wafer surface analyzer
The WM-5000 wafer surface analyzer is equipped with a violet laser diode (wavelength 400nm) for its light source. It achieves maximum detection sensitivity of 0.041μm. Compared with conventional Ar ion lasers, the violet laser diode has longer service life and lower cost, resulting in reduced maintenance. Newly developed optics — MAPS (Multi-Beam & Polarized Optical System) — offer optimum detection for new materials such as high-k, low-k, SOI, SiGe, etc., with stable sensitivity. Options including a multicassette station, mechanical edge-grip handling, and edge-chuck handling are provided. Topcon Corp., Tokyo, Japan; ph 81/3-3558-2525, [email protected], www.topcon.co.jp.

Click here to enlarge image

In situ monitoring tool
Based on Luxtron proprietary Ripple technology, the EpiTUNE II allows high-precision measurement of the reflectivity of growing wafer surfaces, as well as true surface temperature. Each wafer in this company's Planetary Reactors can be monitored individually. A software package, integrated into the well-established CACE V3 control environment, allows data analysis and processing. EpiTUNE II has been designed to monitor processes at temperatures from 400-1600°C, so all III-V processes, such as GaAs, InP or GaN-based processes, can be real-time monitored. Monitoring of semi-transparent substrates is also possible. The high sampling rate (20 Hz) allows true temperature maps of each wafer. The accuracy of the tool, below 1°C, is high enough to control VCSEL, InP laser, or GaInAsN processes. AIXTRON AG, Aachen, Germany; ph 49/241 8909 444, [email protected], www.aixtron.com.

Click here to enlarge image

Analytical probe station
The NANO-100 is an analytical probe station with scanning electron microscope (SEM) optics for device characterization, failure analysis, and wafer level reliability. It supports up to six probes for complete application flexibility, and its features permit simultaneous imaging and probe navigation. The probe forces can be gentle enough to probe 100nm lines or strong enough to puncture oxides. The NANO-100 has the ability to place all probes in an area of one square micron or less. It also has the ability to quickly generate high-magnification/high-resolution images with a field of view large enough for gross navigation. The NANO-100 provides a controlled environment for consistent measurements. Users need not add capacitive or resistive elements in the form of artificial probing pads and do not need to infer signal amplitude — they can inject signals on piece parts, a complete wafer, or even with a probe card, just like an optical prober. The NANO-100 can image at low beam voltages, which is important to avoid damage to semiconductor material. The Micromanipulator Co., Carson City, NV; ph 775/882-2400, [email protected], www.micromanipulator.com.

Click here to enlarge image

Ultrathin-film measurement
This integrated measurement system for ultrathin films such as atomic layer CVD (ALCVD) processes can measure blanket film thicknesses from 3μm to <10Å with 0.03Å repeatability. Highly compact, the Ellipson laser ellipsometer system is designed for integration into nitrogen-purged, front-end modules of process equipment. The Ellipson system solves problems associated with longer time-to-measure, using off-line, stand-alone ellipsometers, such as native oxide growth and surface contamination by FOUP outgassing. The auto alignment system accommodates bowed wafers and wafer sizes up to 300mm. Automatic tool matching, edge gripping, notch detection, and remote-control software are standard. NanoPhotonics, Mainz, Germany; ph 49/6131-95854-0, [email protected], www.nanophotonics.de.

Digital mass flow controller
The PrimAera is a true digital mass flow controller whose proven components ensure precise gas flow and reliability. The small diameter sensor is highly sensitive to changing gas conditions and is very quick to respond. The piezoelectric diaphragm-seated control valve provides fast response and reliability (<1 sec response time). The electronics use a digital signal processor operating at 30 MHz, replacing conventional sensing and control circuits with a digital algorithm, thus improving MFC performance. True multi-gas calibration allows unlimited gas and flow selection without recalibration. Data acquisition functions allow users to monitor process parameters and collect data in real time. The PrimAera can accommodate all types of surface-mount interface designs as well as 1/4" VCR fittings. Advanced Energy Industries Inc., Fort Collins, CO; ph 800/446-9167, [email protected], www.advanced-energy.com.

Negative lift-off photoresist
AZ nLOF 5510 photoresist is engineered to be a process enabling material. Complex multi-step processes are no longer necessary for metal lift-off processes. Standard single-layer lithography processing can be used to achieve retrograde profiles for sub-micron metal lift-off applications. The material offers high throughput and versatility, with aspect ratios as high as 4:1. It can be used with any metal-ion free developer. Clariant Corp., Somerville, NJ; ph 908/429-3538, fax 908/429-3631, [email protected].

Pirani capacitance diaphragm gauge
The PCG400 Pirani capacitance diaphragm gauge provides greater accuracy and faster response than typical convection-type Pirani gauges, at lower cost. This vacuum gauge combines gas-independent, ceramic CDG technology and patented dual Pirani sensors. Designed for loadlocks and for precise control of vacuum pumps, the PCG400 provides pressure measurement from 3.75E-04 to 1125 Torr. A compact instrument smaller than an enhanced Pirani gauge, it is easily installed in any orientation for flexibility of system design. The PCG400 is available with up to two integrated relays, so it can eliminate the need for 1/2 atm or other switches to monitor or control system pressure. INFICON Inc., East Syracuse, NY; ph 315/434.1121, [email protected], www.inficon.com.