Issue



New Products


06/01/2002







Click here to enlarge image

Ultralow-energy, high-current ion implanters
The Ultra line is a new generation of ultralow-energy, high-current ion implanters. The GSD Ultra and HC3 Ultra bring more powerful low-energy throughput capability to this company's high-current ion implantation platform for high-volume production of ultrashallow junctions and other sophisticated implant applications in and beyond the 100nm technology node. Using proprietary electron confinement technology and a new beamline design, the Ultra implanters deliver up to 50% more beam current for leading-edge low-energy implant applications. The new beamline is available in a field upgrade package for GSDIII/LEDs and HC3s. The GSD Ultra draws on the benefits of the GSD multi-wafer endstation. In addition to the GSD Ultra for 200mm applications, the company has introduced the HC3 Ultra for 300mm manufacturing. The HC3 Ultra extends the GSD 200mm platform advantages to 300mm wafers, offering reliable high-current processing from 0.2 to 80keV. Like the GSD Ultra, the HC3 Ultra combines low-energy beam transport innovations with a new system layout that allows easy and fast maintenance access for maximum tool productivity and uptime. Axcelis Technologies Inc., Beverly, MA; ph 978/787-4266, [email protected], [email protected],

Click here to enlarge image

300mm bump lithography
The Saturn Spectrum 300 lithography system has been developed for 300mm, high-yield bump applications. Like the Saturn Spectrum 3e, this stepper employs proprietary broadband optics, dynamic focus capability, PatMax MVS alignment system, high wafer-plane irradiance, superior resolution and greater DOF. The Saturn Spectrum 300 incorporates a 16-slot reticle library, and it can process 150, 200 and 300mm wafer sizes with fully automatic wafer-size change and orientation changing capability. The Saturn Spectrum 300 is available with full Semi-complaint FOUP capability as well as SECS/GEM protocols. Edge processing capabilities can incorporate both edge-exposure and edge-exclusion systems, enabling the user to process the wafer edge while still maintaining the optimum throughput provided by a stepper. Ultratech Stepper, San Jose, CA; ph 408/321-8835, [email protected], www.ultratech.com.

Click here to enlarge image

Wet processors for photomasks and FPDs
These manual load, fully automatic, single-wafer wet processors and cleaners give wet processing precision and repeatability for wafers, photomasks, and FPDs in sizes scalable to users' requirements. The Trilennium 3300 ML — for wafers to 300mm and photomasks to 9 in.2 — and the 3400 ML — for 14-in. photomasks and FPDs to 18 in.2 — are available in configurations that clean, coat, develop, wet etch, or strip. The PC-based systems completely digitize the process, with control over process parameters, including flow rates, temperatures, pressures, and delivery profiles. A Windows user interface enhances operator efficiency, and SECS-II/GEM software with host emulation is available. All Trilennium systems are Semi S2-0200 safety and ergonomic compliant, with third party audit. Solid State Equipment Corp., Horsham, PA; ph 215/328-0700, fax 215/328-9410, [email protected].

Click here to enlarge image

Patterned wafer inspection
Compass Pro, developed from the Compass OMNIView Multi-Perspective laser scanning technology, introduces new applications for detecting defects on ≤100nm chip generations. The system's Multi-Perspective Grain Suppression (MPGS) technology uses composite imaging from multiple perspectives to enhance defect detection on a wide range of grainy films. The Compass Pro also offers a comprehensive recipe library optimized to support the copper interconnect sequence. Addressing the increasing need for higher sensitivity at higher throughputs, the Compass Pro significantly increases the ability to act on yield-limiting defects faster and more accurately, at significantly lower cost. Applied Materials Inc., Santa Clara, CA; ph 408/986-7194, [email protected], www.appliedmaterials.com.

Click here to enlarge image

Flip chip bonder
The STRIKER 2002 is the first flip chip bonder designed specifically to cater to the newly developed process requirements of large silicon flip chip package structures for very high I/O and large bandwidth applications. It features high-accuracy chip placement (±6μm @ 3s); high-accuracy theta control (±4 min @ 3s); both wafer and substrate 3-D inspection; a variety of flux, ultrasonic tack, dispense and underfill processing methods; and optional curing and/or reflow oven capabilities. The STRIKER 2002 can handle pad pitches down to 100μm or better and package sizes up to 52.5mm. ESC Manufacturing Inc., Ivyland, PA; ph 215/682-9300 ext 16, fax 215/682-9318, [email protected].

Click here to enlarge image

300mm load port
The IsoPort is a next-generation 300mm load port that gives semiconductor toolmakers a highly configurable, automated wafer-handling solution for integration with their tools. Its high degree of interoperability performance is achieved through its precise servo-controlled motion, smart latchkey design, and optimized carrier sensing. Tool throughput is enhanced, with the time from carrier arrival to first-wafer access at eight seconds. Conformance to the stringent ISO Class 1 particle level eliminates triboelectric charge buildup on the carrier door in the laminar flow-path while in the open position. The IsoPort features optional gas purge ports that provide an inert ambient environment for wafers in the carrier on the load port, and its materials are resistant to corrosion from reactive process gases. IsoPort requires zero preventive maintenance, and its configurable design easily integrates all types of automated carrier identification solutions (RF, infrared or barcode). Asyst Technologies Inc., Fremont, CA; ph 510/661-5379, [email protected], [email protected], www.asyst.com.

Click here to enlarge image

CD SEM calibration standard
The NanoLattice Standard is a CD SEM calibration standard for next-generation semiconductor manufacturing. With a 0.1μm pitch, it allows accurate sub-0.13μm lithography, as well as SEM magnification calibration and characterization of nonlinearity across the field of view. NanoLattice Standard consists of a 1.2 x 1mm grating etched in silicon with a nominal pitch of 100nm. When mounted on a wafer, the chip is placed in a recessed pocket so that it is coplanar (±15μm) to the surface of a wafer. Global alignment marks are present on both sides of the chip, 25mm from the center. The range of certified pitch values includes 100, 200, 400, 800 and 1000nm. NanoLattice is available in wafer sizes of 300, 200 and 150mm. It is also available as a loose die or as a die mounted on a stub. The large calibration area, 800 x 800μm, promotes longer product life because the user is not limited to measuring in the same spot over and over again. VLSI Standards Inc., San Jose, CA; ph 408/428-1800 ext 153, [email protected], www.vlsistandards.com.

Click here to enlarge image

Low-defect-density ion beam deposition
The NEXUS low-defect-density ion beam deposition (LDD-IBD) system is a high-performance production system. It is suitable for photomask applications such as phase shift (PSM) and next-generation lithography technology such as EUV masks, due to its very good uniformity, high repeatability, and low particulate deposition. The system deposits extremely thin single- and multilayer film coatings with angstrom accuracy. Its modular architecture also allows clusterability with other process modules for integrated solutions and a choice of an R&D/pilot line system or a high-throughput production system. Veeco Instruments Inc., Rochester, NY; ph 585/458-2550, [email protected], www.veeco.com.

Click here to enlarge image

Defect review and inspection station
The DR300L defect review and inspection station features DUV imaging, and the Genmark GR7 Dual Arm Robot and integrated loadport module — capable of handling both 200mm and 300mm wafers — make it well suited for the manufacturer transitioning between the two sizes. The loadport incorporates prealign/precenter and cassette mapping systems, affording greater efficiency and economy of space. The DR300L includes a 300mm travel precision programmable stage with an optional built in rotator. Specialized software that allows examination of partial wafers is available. An Olympus MX80 DUV confocal microscope with 265nm illumination and confocal imaging ensures superior imaging. Kinemate software for defect review and Unimate software for programmed inspection complete this cost-effective tool. Kinetek Corp., Valley Cottage, NY; ph 781/834-8094, [email protected]., www.kinetek.com.

Click here to enlarge image

6-degree-of-freedom nanopositioning stage
This 6-axis nano-scanning and nano-alignment system, the PI P-587.6CD, provides a linear travel range of 800 x 800 x 200μm and rotation ranges of ±500μrad. With ultrahigh-resolution capacitive sensors, the system is well suited to advanced applications such as nano-metrology, scanning microscopy, optics and mask alignment. Designed for industrial OEM applications, the system features integrated AutoCalibration, active trajectory error compensation, all digital 6D-control, and ultra-responsive parallel kinematics (no moving cables = no friction and increased reliability). Typical applications are metrology, semiconductor inspection systems, CD measurement, microlithography, mask alignment, high-resolution microscopy, and head/media test systems. Polytec PI Inc., Auburn, MA; ph 508/832-3456, [email protected], www.polytecpi.com.

Click here to enlarge image

ICP deep etching tool
The new I-Speeder AMS 200 cassette-to-cassette production tool consists of a single wafer vacuum loadlock assembly connected to a processing chamber. This chamber is fitted with a patented Alcatel high-density, low-pressure ICP (Inductively Coupled Plasma) source and a temperature-controlled chuck. The ICP reactor allows the use of three different etching process regimes without hardware configuration change: the "Bosch" process, the cryogenic process, and the standard "nonpulsed" process. High-performance vacuum pumps are connected to the loadlock and to the process module for evacuation and for pump-out of reactive gases and by-products. Aimed at high-volume production of MEMS and MOEMS, the I-Speeder AMS 200 offers uptime >93%, MTBF >144 hrs, and MTTR <3 hrs. It also offers good plasma stability and ease of plasma ignition, etch rates up to 20μm/min (while maintaining good etch smoothness), controlled undercut, very good uniformity of silicon etch and mask erosion, and high selectivity to the underlying insulator without "notching." Alcatel Vacuum Technology, Annecy, France; ph 33/0450-6578-44 or 33/5065-7480, [email protected], [email protected], www.alcatelvacuum.com.

Click here to enlarge image

Automated photoresist bake tool
The Despatch 300mm oven features two front-opening unified pod loadport stations with atmospheric Class 1 cleanroom-compatible robots and optically based Class 1 laser cassette mapping sensors that seamlessly interface with a manufacturer's automated wafer-handling system. By using automated handling for photoresist baking, chipmakers can increase throughput and eliminate errors associated with manual handling of 300mm wafers. The oven's two heat treatment chambers hold up to 25 wafers each during the bake cycle. The oven fully complies with 300mm Semi communication standards and features a GUI for easy operator use. Footprint of the Despatch is 88 x 103 in., and other features include a dry, inert baking atmosphere, temperature uniformity ±1.5 °C at 95 °C operating temperature (max. temp. 150°C), active cooling for quick cool-down, HEPA filtration standard, and compliance with Semi S2/S8 and Sematech safety standards. Despatch Industries, Lakeville, MN; ph 800/828-9903, [email protected], www.despatch.com.

Click here to enlarge image

Oxygen analyzer for RTP
The Dycor CG 1100-RTP oxygen analyzer is designed specifically for rapid thermal processing (RTP) wafer fabrication systems. It improves processing yields by detecting oxygen contamination at the parts-per-million level and maximizes throughput by ending the purge cycle as soon as the oxygen background is at an acceptable level. The Dycor CG 1100-RTP features: a zirconium oxide sensor for accuracy and fast response; electronic flow control, allowing a range of operating pressures; a wide detection range (ppm to 100% oxygen); easy integration into tool controller and data acquisition systems — RS-232 and RS-485 communications ports, analog 4-20mA outputs, and I/O alarms available; and an easily configurable hardware platform that allows integration into end-users' tools. AMETEK Process Instruments, Pittsburgh, PA; ph 412/828-9040, [email protected], www.ametekpi.com.

Click here to enlarge image

On-line chemical monitoring system
This on-line chemical monitoring system for the copper electrodeposition process, the Quali-line QLC-6000, is the newest automatic on-line system for measuring organic additives and inorganic components. The new system takes this company's cyclic voltammetric stripping (CVS) technique to the highest level with new logic-based features, analysis developments, and hardware design improvements. Specifically, the QLC-6000 has a new Windows-based platform supporting a GUI, a touchscreen monitor, and improved handling of data. By comparison with its predecessor, the QLC-5000, the 6000's design features provide an increase of up to 20% in speed of analysis, an enhanced analysis procedure, simplified system operation, and enhanced data access and storage capacity. ECI Technology, East Rutherford, NJ; ph 973/773-8686, [email protected], www.ecitechnology.com.

Deep-UV aluminum mirrors
Based on this company's high-density Al coating technology, broadband DUVAL mirrors provide higher 193nm reflectance and durability than standard UV protected Al mirrors. Build-to-print or off-the-shelf optics are available for ellipsometry, spectroscopy or semiconductor metrology applications. Aperture optics of 2-400mm are available. CVI Laser Corp., Albuquerque, NM; ph 800/296-9541, www.cvilaser.com.

99.999%-pure silicon tetrafluoride
Silicon tetrafluoride is now available at purity levels of 99.999% to meet the increasingly stringent demands of semiconductor and optical materials producers. Silicon tetrafluoride has become the precursor of choice for the current generation of low-k dielectric device layers and can be used with silane, disilane, TEOS, and other suitable silicon sources to produce a low-k fluorinated silica glass (FSG) using existing CVD tooling. Voltaix Inc., N. Branch, NJ; ph 908/231-9060, [email protected], www.voltaix.com.

High-temp perfluoroelastomer seals
Chemraz HT300 is formulated for high-temperature wafer processing up to 300°C. It provides very good chemical resistance with minimal particulation. Available in a wide range of geometries and cross-sections, the material offers the diversity required for a variety of dynamic or static dry processing applications. Chemraz 661 has been designed for the demands of aggressive plasma systems. Its formulation provides a balance of physical properties plus enhanced plasma resistance and reduced contamination. It is suitable for both static and dynamic dry wafer processing applications, such as etch and CVD, and it remains stable at service temperatures up to 240°C. Greene, Tweed & Co., Kulpsville, PA; ph 800/716-5316, [email protected], www.gtsemi.com.

Click here to enlarge image

Digital pressure controller
The MKS Type PC10A is a digital pressure controller that uses the DeviceNet communications protocol; it is a self-contained closed-loop electronic control system with the same footprint as a standard mass flow controller. It is used in a wide range of semiconductor processing applications, including CVD source gas delivery, wafer cooling, and loadlock pressure control. The PC10A is compliant with DeviceNet 2.0 and provides a seamless migration path for customers who are transitioning process tool control systems from analog to digital communications. The controller consists of a high-accuracy MKS Baratron capacitance manometer, a proportioning control valve, and digital control electronics. The PC10A can be digitally tuned for fast response by adjustment of gain, integral, and derivative (PID) constants. A wide range of Full Scale pressure ranges is available, from 10 to 1000 Torr, and 30 to 100 psia. MKS Applications Engineering Group, Andover, MA; ph 800/227-8766 or 978/682-4567, [email protected], www.mksinst.com.

Click here to enlarge image

Quality assurance inspection software
BGA-300 and BGA-300 Plus software are powerful quality assurance tools for use with optical and x-ray BGA inspection equipment. BGA-300 is used with the 3D-BGA optical system; BGA-300 Plus is used with any x-ray inspection system, as well as the 3D-BGA optical unit. BGA-300 Plus allows the user to employ both optical and x-ray inspection technology simultaneously; a monitor split-screen feature allows the user to view and analyze both optical and x-ray images for maximum advantage. This software program works in real time, in conjunction with the images on display, and offers image enhancement tools. It provides distance, radius, and angle measurements, etc. O.C. White, Threee Rivers, MA; ph 413/289-1751, fax 413/289-1754, www.ocwhite.com.

Click here to enlarge image

Single-wafer spin system
SpinBall Process Station is a spin coater/developer single-wafer process system developed specifically for R&D labs and specialty production fabs. Designed for the application of a variety of photolithography chemicals, the SpinBall Process Station provides uniform, precise and repeatable process results through its advanced aerodynamic cup design. Based on SITE's field-proven, fully-automated Tractrix platform, the SpinBall Process Station can be ordered for coating, developing or cleaning. The system can process a wide range of substrates, including rounds and squares. The SpinBall system can be equipped with a cartridge dispense system for high viscosity materials or standard photoresist. The SpinBall Process Station has a compact footprint (19 x 32 in.) and allows for self-contained process chemical and waste storage. SITE Services, Inc., Santa Clara, CA; ph 408/980-1155, fax 408/980-1267, [email protected].

EMI cancellation system for SEMs
The MK4 EMI cancellation system is designed to neutralize the hostile environments and rapidly changing AC fields in which highly sensitive electron beam instruments often operate. The successor to the widely installed MK3, the MK4 effectively overcomes electromagnetic disturbances in industrial and semiconductor manufacturing sites, enabling scanning instruments to deliver maximum image resolution. The MK4 effectively reduces EMI disturbances in the wide frequency band from 0 Hz to 750 Hz, with full compensation of repeating low-frequency disturbances down to DC. Integrate Dynamics Engineering, Raunheim, Germany; ph 508/650-8870 (in US), [email protected], www.ideworld.com.

Innovative FIB tool
The IDS OptiFIB system, designed for analyzing and editing ICs, is the first focused ion beam instrument with simultaneous ion and photon optical capabilities. It features in situ alignment, combining ion and photon optical microscopes in a single coaxial photon ion microscope. This greatly increases productivity, eliminating the blind navigation problems that traditional tools must address by shuttling devices back and forth between photon and ion microscopes. The IDS OptiFIB is suitable for front-side or back-side editing on current and future generations of ICs that are manufactured with complex processes using shrinking geometries, copper, low-k dielectric, silicon-on-insulator, and an increasing number of metal layers. Schlumberger Semiconductor Solutions, San Jose, CA; ph 408/586-6474, [email protected], www.slb.com/semiconductors.

Gas purification system
The Infinity GPS-80-H hydrogen gas purification system operates at ambient temperature, low inlet pressure, and removes H2O, CO, CO2, O2, and hydrocarbons to <1 ppb purity levels, making it an effective and economical alternative to palladium cells. The system provides a continuous stream of high-purity hydrogen at low or high pressures, with low pressure-drop. Power failures do not cause any damage to the system. These advantages eliminate problems such as high-pressure (>100 psig) gas handling, cell poisoning, or cell cracking from power failure. The nickel/titania catalyst purification technology used in this product is inorganic, hydrocarbon-free, and regenerable. Infinity GPS is composed of two purifier beds, associated plumbing, and controls. During use, one purifier bed is on-line and providing purified gas while the second bed is being regenerated or is waiting to be put on-line. AERONEX, San Diego, CA; ph 858/452-0124, fax 858/452-0229, [email protected].

Click here to enlarge image

Capacitance diaphragm gauges
The TRIO CDG line of compact capacitance diaphragm gauges meet OEM and end-user needs for low-cost miniature CDG sensors that provide reliable pressure measurements from atmospheric pressure down to 10-4 torr with accuracies 0.5% of reading or better. The use of state-of-the-art capacitance measurement circuitry makes possible the CDG's smaller footprint without compromising the accuracy of the gauge. A highly corrosive-resistant metal diaphragm is the active element of the transducer. The sensing element is a shielded electrode system that is embedded into a glass-to-metal structure optimized for minimum thermal effects. This design has led to absolute and differential units with full-scale pressure ranges extending from 1000 Torr to 1 Torr. The line's offerings include heated, unheated, and bakeable units with such features as digital, analog, and switch outputs. Ferran Scientific, San Diego, CA; ph 858/792-7549, [email protected], www.ferran.com.

Click here to enlarge image

6 x 28 membrane contactors
The Liqui-Cel 6 x 28 Membrane Contactor is the only integrally potted membrane contactor device manufactured with such a large diameter. The integral potting has allowed the elastomeric O-ring seals to be eliminated. The Liqui-Cel 6 x 28 Membrane Contactor is designed to handle flow rates of 5-50 gpm (1-10 m3/hr) in a single unit. Larger flow rates can be accommodated by piping multiple devices in parallel. The contactors are rated to 105 psig at 77°F (7.38 kg/cm2 at 25°C). The membrane cartridge is integrally potted into ABS and the contactors are manufactured with FDA compliant materials. The device has also passed required toxicity testing. The contactor is used primarily for CO2 and O2 removal. Celgard Inc., Charlotte, NC; ph 704/587-8596, [email protected], www.liqui-cel.com.

E-beam evaporator
The EGC04 "Mini" E-Beam Evaporator, for the deposition of ultrapure refractory materials, is designed for low contamination and sub-monolayer control of these and other critical thin films. Sequential and co-evaporation from up to four pockets — containing either rod or bulk materials — can be undertaken. The EGCO4 is also equipped with active flux monitoring. This feature not only allows the flux detection sensitivity to be amplified, but also removes energetic and damaging ions from the beam. The EGC04 is designed typically for UHV chambers employing a standard NW35CF flange. Applications include catalysis, small-scale MBE material growth, semiconductor doping, and contact metallization research and synchrotrons. Oxford Applied Research USA Inc., Newark, NJ; ph 866/NOW-4OAR (toll-free), fax 973/622-3423, www.oaresearch.com.

193nm anti-reflective coating
Three new ArF anti-reflective coatings — ARC27, ARC28 and ARC29A — offer improved optical parameters and etch characteristics and the increased DOF required by current design rules. All three materials are designed for use in ArF (193nm) photolithography processing. Both ARC27 and ARC28 have been designed for optimal reflectance at first minimum. The optimized reflectivity aids in obtaining improved CD control and broad process latitude. ARC29A has been designed for optimal reflectance at second minimum. With the use of ARC29A, the user gains broad resist compatibility, better thickness control, better topography coverage, and expanded DOF. Brewer Science Inc., Rolla, MO; ph 573/364-0300, [email protected], www.brewerscience.com.

Perfluoroelastomer O-rings
The Kalrez NW Series O-rings extend seal life in semiconductor KF flange seals. The perfluoroelastomer O-rings help optimize seal life and reduce downtime in semiconductor vacuum systems, particularly at elevated temperatures. The new NW series offers standardized O-ring sizes that are consistent with ISO-guidelined dimensional specifications for KF flange systems. DuPont Dow Elastomers, Wilmington, DE; ph 800/323-9806 or 302/792-4338, www.dupont-dow.com/kalrez.

Cassette-to-cassette automation
The S-300 horizontal furnace cantilever loading system is an ergonomic, scrap-reduction system designed to assist the fab operator in safely loading and unloading wafer boats to all cantilevers on a furnace stack. Operators need only place and retrieve plastic wafer cassettes from the transfer tool. Process wafer boats are managed by the S-300. Simple control and set-up is through a single color touchscreen. The S-300 can be removed or re-installed from the load station in <1 min. It is also available without a transfer tool. Amtech/Tempress Systems Inc., Tempe, AZ; ph 480/967-5146, [email protected].

Click here to enlarge image

Purged monochronomator/spectrograph
The new SpectraPro 300i purged monochromator/spectrograph offers efficient spectral operation for wavelengths as low as 120nm. The standard configuration provides all of the features of the SpectraPro 300i, #1200 wavelength optimized optical coatings on all gratings and mirrors, and a proprietary purged venting design that eliminates entry of stray light. Options include venting locations, MgF2 sealed optical ports, a purged deuterium light source, detector assemblies and CCD camera systems. The system's Windows-based scan control software provides wavelength selection through the instrument's stepping motor scanning system with 32-bit microprocessor control via RS232 and IEEE488 interfaces. Acton Research Corp., Acton, MA; ph 978/263-3584 ext 1225, [email protected], www.acton-research.com.

Click here to enlarge image

300mm wafer carrier
This silicon carbide wafer-carrier solution is based on theoretical analysis, finite element analysis (FEA) modeling, and field testing of designs. It helps to reduce the negative effects of wafer slip in 300mm wafer device manufacturing. The result is a silicon carbide wafer carrier that minimizes the effects associated with high-temperature thermal processing and large wafer diameters. The patent-pending design, known as the Column Boat, features near center wafer support with an ultra-smooth silicon carbide CVD coating on all wafer contact points. CRYSTAR Components/Saint-Gobain Semiconductor Components Group, Worcester, MA; ph 508/795-4406, fax 508/795-5975, [email protected].

Click here to enlarge image

Ergonomic workbenches
The ScienceDesk line of workbenches ergonomically integrate optical breadboards, vibration isolators, and modular accessories into a convenient system. Systems offer active or passive vibration isolation, load capacities to 900 lbs. (540 kg), work-surface sizes from 2.5 x 2.5 to 3 x 4 ft, and electrostatic discharge (ESD) protective accessories such as overhead shelves, wrist rests, and keyboard holders. The vibration-isolation features of the passive systems eliminate floor vibrations in the range of 10-50 Hz, and the active systems do the same for the 1.5-50 Hz range. All frames are constructed with lightweight aluminum extrusions and include height-adjustable feet to complement the heavy-duty locking casters. Conveniently located mounting holes throughout the entire frame allow users to configure shelves and posts easily to satisfy safety and ergonomic needs. Melles Griot, Photonics Components Division, Irvine, CA; ph 800/835-2626 or 949/261-5600, [email protected], www.mellesgriot.com.

CMP pad conditioners
The Process Infinity line is designed to optimize the pad conditioning process by tailoring the diamond pad conditioner to a specific CMP process. The diamond CMP pad conditioners are manufactured with the P.B.S. bonding system, where each diamond is permanently brazed to the stainless steel substrate to promote high diamond exposure and excellent flatness. The pad conditioners are available for the most demanding ILD, STI, tungsten and copper CMP processes. They are available in a range of product performance options, including low polishing rates, high polishing rates, and low pH slurry protection. In addition, the disks are available in both random and structured abrasive distribution options. Abrasive Technology, Lewis Center, OH; ph 740/548-4100, [email protected].