Issue



Emerging process requirements demand point-of-use dry pumps


06/01/2002







by Mark W. Curry, Applied Materials, Santa Clara, California

overview
Advanced-design point-of-use dry pumps are cleaner, quieter, and more efficient than traditional dry pumps. They use 50% less power and water, saving thousands of dollars/year in consumables. Process yield can be improved by better pumping speed control, less foreline surface area shedding particles, improved pump temperature control, and standardized conductance and pumping characteristics from tool to tool. The number of pump types used in a fab can be reduced, simplifying maintenance and reducing spare parts inventory.

Many IC manufacturing process tools require a mechanical vacuum pump for evacuating and purging loadlocks, direct pumping of medium vacuum processes, roughing high-vacuum process chambers from atmospheric pressure, etc. In these applications, conductance is the key to pump efficiency.

Originally close to the process tool they served, mechanical vacuum pumps over time were transferred to remote semi-clean regions of semiconductor fabs to prevent wafer contamination and to reduce noise, vibration, and heat associated with pump operation. Remote pumps use a significant portion of their pumping speed to compensate for long, low-conductance vacuum lines.

When conductances are in series, effective pumping speed at the process chamber (Se) is given by:

1/Se = 1/Sin + 1/Cch + 1/Cp = 1/Sin + 1/Ct
where
Sin =pumping speed at the pump inlet,
Cch =chamber conductance (i.e., effective conductance through all chamber components),
Cp =conductance between the entrance to the pumping line and the vacuum pump (i.e., line conductance), and
Ct =total conductance from the process chamber to the pump.

A conductance bottleneck anywhere in the system (e.g., a perforated pumping ring around a wafer) causes pumping conductance at that point to dominate system conductance. Total conductance will always be limited by the smallest conductance, even if high-conductance, large-diameter pipes are used downstream.

Chemical vapor deposition (CVD) and plasma etch processes require control of flow rate and process pressure of reactive gases. Gas flow rate (Q) is the product of pumping speed (S) and pressure (P). Flow rates through vacuum components in series are equal, so:

Q = SePch = SfPf = SinPin = Cch (Pch - Pf) = Cp(Pf - Pin) = Ct (Pch - Pin)
where
Sf = pumping speed to the process chamber at the entrance to the foreline.

Chamber pressure (Pch), pressure at the entrance to the chamber foreline (Pf), and pressure at the pump inlet (Pin) are all functions of the vacuum pump Sin and Ct between the chamber and the pump. S is given in m3/hr, C in L/s, Q in L/m, and P in torr.

If process chamber conductance is high enough, Cp will be the limiting factor. Reducing Cp will allow the lowest possible pump capacity and the smallest pump. With remotely located pumps, a long low-conductance pumping line can limit effective pumping speed to where increasing process flow rate or lowering pressure requires a larger pump. This can reach a limit where the desired flow rate and pressure can no longer be attained by increasing pump size.


Figure 1. The effect of conductance on pumping speed of a remote pump for increasing gas flow.
Click here to enlarge image

Effective pumping speed is a function of gas flow (or throughput) at different points in a system between the process chamber and a remote pump (Fig. 1). In this example, vacuum line conductance losses to a remote pump reduce effective pumping speed from 600 m3/hr to ~200 m3/hr and to ~100 m3/hr by limited chamber conductance because of distance and the tortuous conductance path between basement pump and wafers.


Figure 2. A failed attempt to use higher capacity pumps (Pump A vs. Pump B) to solve conductance problems on a 300mm CVD system.
Click here to enlarge image

Many process chambers suffer from pumping inefficiency with various degrees of severity. The situation is worse for high flow rate CVD chambers. While the intuition is to install larger capacity pumps, this does not help, because system conductance dominates and determines pumping speed and pressure in the chamber. For example, doubling pump capacity on a 300mm CVD system with remote pumps increased pumping speed at the chamber by <10% (Fig. 2).


Figure 3. Effective pumping speed of a 250 m3/hr remote pump (a) connected to a system via 10m of 50mm-dia. pump line (b) vs.100 m3/hr pump (c) connected by 1m of 50mm pump line (d).
Click here to enlarge image

The better solution is a smaller point-of-use (POU) pump (Fig. 3). These data show the inlet pumping speed of a 250 m3/hr pump (Curve A) and the resulting speed at the system chamber inlet (Curve B) using 10 m of 50mm diameter vacuum line vs. 100 m3/hr POU pump (Curve C) and 1 m of 50mm vacuum line (Curve D). The data in Fig. 3 illustrate that at pressures <500 mtorr a POU pump in this configuration is superior to a remote pump having twice the capacity.

POU pump trends
Recent wafer processing trends have increased the need for pumping speed. For example, 300mm wafers require larger process chambers; a pump adequate for rapid purging and pumpdown on 200mm tools will no longer do the job.

Dry pumps are being moved back into cleanrooms, adjacent to process chambers. In addition to solving conductance problems, POU pumps can significantly reduce capital, installation, and operating costs of pumps. The mechanical and piping costs of a remote installation account for 80% of the total installation expense.

Recent innovations and development work have resulted in POU pumps that enhance overall process tool efficiency and increase the process window (see "Development cooperation yields an integrated point of use pump" on p. 118). To fully achieve a pumping configuration where all dry pumps are POU, pumps must be small, quiet, energy-efficient, reliable, easy to install or retrofit, self-monitoring, and easy to maintain. Critical performance specifications included pumping speed vs. pressure, power consumption, and mean-time-between-maintenance under both benign and harsh duty conditions.

We have worked with three pump manufacturers to develop a standardized series of dry pumps per our specification. For example, present designs for a 100 m3/hr pump are 11 x 12 x 24 in. with all facility and vacuum connections on the back of the pump. This pumping speed in a POU configuration can meet the process needs of ~70% of current 200mm and 300mm applications. A 300 m3/hr POU pump has also been developed and is currently being tested on larger throughput applications.

The ambient noise in cleanrooms, typically >72dB, is dominated by air filtration and tool operation. Our integrated POU pump specification requires that aggregate pump noise level based on a six-pump system be less than ambient. Using acoustic absorbers and efficient exhaust silencers, and decoupling the pump body from its housing, external sound levels <56dB have been achieved for a single pump and <65dB for a six-pump system. This is so far below ambient cleanroom noise that you have to put your ear close to the pump to tell that it is running.

Our POU pump specification requires that operation should have no effect on vibration levels around the process tool. This has been accomplished by carefully balancing the pump mechanism with vibration-damping and isolation elements, such as bellows, integrated into the pump inlet and exhaust ports. Floor vibrations were measured around a 300mm CVD tool at two points directly below and four points adjacent to the integrated POU loadlock pump next to the factory interface. At all locations, the pump was turned on and off to measure any significant change in vibration levels due to pump operation (see table). An increase in vibration was detected only directly under the pump.

Pump integration
Qualification of new pumps for a process usually involves close cooperation between the pump and process tool suppliers. With pumps moving into cleanrooms, they move more into the realm of tool suppliers. Safety concerns are often a major deterrent for some customers when first approached to integrate roughing pumps into the cleanroom. Their presence is not necessarily a testament to compliance with current safety specifications. Semi standards for safety demand features that are not present on most vacuum pumps. For example, we have had to incorporate the following Semi S2 compliance into our design specifications for POU pumps:

  • a hardwired shutoff of pump power (contactor) for motor over-temperature, exhaust overpressure (>6 PSIG), or loss of the process tool enabling signal from its interlock circuit;
  • a signal to the process tool to shut off chamber gas flow for any hardwired shutoff event, loss of secondary exhaust ventilation, loss of specified nitrogen purge flow, or gas leak detected in the secondary exhaust ventilation.

Pump exhaust abatement or scrubbing can also be integrated directly to a POU pump, allowing for further savings in space and facility cost. PFC abatement devices are currently being tested with POU pumps against stringent performance and safety specifications.

As e-diagnostics and monitoring systems are integrated into process tools, compatible systems must also be designed for integrated roughing pumps. Local access to the process tool hub simplifies connectivity and communication with internal servers and eliminates duplication of costly networking components.

Click here to enlarge image

POU pumping advantages, installation
POU pump advantages include simplified installation, elimination of periodic maintenance, improvement in process throughput, and significant reductions in energy consumption, process cooling water, and exhaust ventilation.

POU for roughing implies pumps are within 3m of the chamber being pumped. Conventional ≤100mm diameter, ~10m long forelines required for remote installations are replaced with a 50mm diameter <3m long foreline. The cost of exhaust plumbing runs is also reduced by using smaller 25mm diameter lines connected directly to an abatement device or exhaust scrubber.

In CVD and etch applications, where exhaust plumbing must be heated to prevent condensation, there is less line to heat and smaller-diameter heating jackets are required. In some cases, such as loadlock pumping and cryopump regeneration, use of a POU pump makes it practical to share a single POU pump across several process chambers.


Figure 4. POU pumps integrated and stacked on a 300mm CVD platform.
Click here to enlarge image

Although POU pumps can be placed in a variety of locations, integration with a tool platform is preferred, providing repeatable pumping performance and maximum conductance. For example, with a POU pump integrated on a 300mm CVD tool (Fig. 4), the pump occupies only 2.6 ft2. An equivalent-performance remote pump would require 3.6 ft2. To further reduce footprint, POU pumps can be stacked without additional support structure. The small 2.0 ft3 volume of a POU pump allows it to be hung in space below the subfloor (Fig. 5) or inside waffle slots, reducing cleanroom space to zero.


Figure 5. POU pumps under the subfloor behind a tool.
Click here to enlarge image

Maintenance
Our experience has also shown that POU dry roughing pumps have extended reliability; the typical mean-time-between-failure with benign gases, for example, is <130,000 hr at 80% confidence. This dramatically reduces the likelihood of failure prior to scheduled pump replacement.

The size and weight (~200 lbs) of the new generation of POU pumps designed for the cleanroom facilitates a ~30 min change-out so that repairs can be done more expeditiously off-line. These pumps have wheels and integrated handles that allow them to be easily maneuvered to their location without the need for a dolly or handcart, and there are special pump-lifting units that can negotiate narrow (~36 in.) aisles between process tools and put pumps into position. The advanced design of POU pumps eliminates the need for periodic lubrication, adjustment of nitrogen purge flow, and cleaning of silencers, further simplifying maintenance, training, and spare parts inventory.

Process advantages
The improved chamber-to-pump conductance together with low entrained gas volume lets a POU pump respond rapidly to chamber flow demands. This can reduce process time and improve throughput. Conventionally used, slow-response, particle-prone throttle valves can be replaced with direct chamber pressure control for moderate-pressure processes that do not require turbomolecular pumps. Work has even been done to couple POU pump and turbo pump speed control to eliminate the need for throttle valves [1]. In addition, a POU installation has less foreline surface area where particles can accumulate and backstream into the process chamber, thus reducing particle contamination.

Multiwafer loadlocks typically require controlled pumpdown from atmosphere to prevent the formation of particles from nucleation and condensation of water vapor introduced with a new cassette of wafers [2]. Conventionally, a two-stage valve is used to slow the initial pumpdown and reduce adiabatic cooling.

The slow pump period is inefficient due to poor conductance and large pipe volumes between a loadlock and its remotely located roughing pump. Using a POU vacuum pump, a system can directly monitor and continuously optimize loadlock pumpdown, significantly reduce pumpdown time, and increase wafer throughput where loadlock pumping time is a significant portion of total process time.

Energy, water, exhaust
In achieving SIA goals for 2003 and 300mm wafer processing, the use of integrated POU pumps is forecast to be one of the largest contributors to energy use reduction (and associated reduction in CO2 emissions) in plasma etch, LPCVD, PECVD, and sub-atmospheric CVD (SACVD). The overall power consumption of a POU pump can be up to 4 kW/pump less than for an equivalent-performance, remotely located mechanical pump. Remote pumps consume this excess energy to support their larger motors, auxiliary blowers, and higher process water and ventilation flows. Additional savings associated with POU pumps come from reductions in foreline heating and the benefit of a lower-power idle-state operating mode.


Figure 6. Semiconductor fab energy consumption based on data from 16 fabs with facility electrical consumption of 20 mW. (Source: International SEMATECH)
Click here to enlarge image

A compilation of International Sematech data for 16 fabs showed process tools consume 40% of a fab's energy budget (Fig. 6) with 20% of all power tied directly or indirectly to pumps. Based on a four-chamber process tool with six POU pumps, the totalcarbon equivalence saved in one year equals 156,288 lbs [3]. This equals nearly 160,000 lbs. of coal or 120,000 lbs. of oil saved/year/platform [4].

Conclusion
Traditional remote pumps reach their limit as flows increase or pressures decrease. Advanced, cleanroom-friendly integrated POU pumps save space and cost less to install and operate. The latter are also more compatible with the high-throughput, low-pressure requirements of 300mm wafer process chambers. Successful integration of POU pumps requires an expertise in the interaction between the pump characteristic and the process requirements, and a thorough knowledge of tool, process, vacuum engineering, and cleanroom safety and environmental requirements.

Acknowledgments
The Applied Materials SCM/Pumps organization provided valuable assistance. Roger Mora and Terry Francis, formerly of Applied Materials, provided information on tool energy measurements and industry status. The author acknowledges contributions from Kevin Hill, Romain Beau de Lomenie, Dan Lu, and Michael Fong, all members of the iPUP team. Centura and iPUP are trademarks of Applied Materials Inc.

References
1. K. Caldwell, "Chamber Pressure Control," Solid State Technology, pp. 149-154, Sept. 2000.
2. J. Zhao, et al., Solid State Technology, pp. 85-89, Sept. 1990.
3. kWh = 0.816 lbs. CE for a coal-fired power station; www.ieer.org.
4. 1 kW-h = 0.833 lbs. coal or 0.625 lbs. oil, US DoE; www.even.doe.gov.

Mark Curry received his BS in aeronautics from San Jose State University and his MS in systems management from the University of Southern California. He is a member of technical staff, systems design, in the Customer Productivity Support Group at Applied Materials, M/S 1622, 2801 Scott Boulevard, Santa Clara, CA 95050; ph 408/563-6287, fax 408/748-5123, e-mail [email protected].


Development cooperation yields an integrated point-of-use pump

by By Patrick Colin, Paul Gillot, Alcatel Vacuum Technology, Annecy, France

The Alcatel A100 iPUP (integrated point of use pump) was developed specifically to address the need to integrate dry roughing pumps inside or just beside wafer processing tools. This pump emerged out of a proposal, several years ago, by Alcatel engineers to Applied Materials. Alcatel's initial "Zebra pump" concept led to a joint development between Alcatel and Applied Materials.

The A100 iPUP is based on Alcatel's multistage roots technology, which has more than two years of qualification on hundreds of remote roughing pumps used on systems from Applied Materials. Alcatel has two variations of the iPUP: the A100L for loadlocks and clean applications and the A100P, which includes a nitrogen purge and pump temperature monitoring for harsh applications.

Click here to enlarge image

Among the advantages, in a loadlock application, reduction of foreline length significantly reduces the volume that needs to be pumped. For example, a 5-liter loadlock connected to a remote dry pump by a 5m DN40 foreline amounts to a 26-liter vacuum line volume compared to ~5 liters when an iPUP is integrated near the loadlock. Use of integrated pumps also leads to better efficiency; for example, the 60 m3/hr pumping speed of an A100 iPUP pump is equivalent to 500 m3/hr with a conventional remote pump.

The rotational speed of the iPUP is greater than conventional pumps. Special bearings and seals were used to maintain suitable reliability. The iPUP is 600 x 280 x 300 mm, roughly one-fourth the size (i.e, half the footprint, half the height) of conventional remote dry pumps of similar pumping speed. The pump's size enables several installation alternatives.

  • in the cleanroom, close to the tool (while this reduces the footprint by 50%, it does require cleanroom floorspace);
  • stacked in the cleanroom (a standard configuration used with the AMAT Endura SL);
  • under tool in subfloor (a zero footprint installation); and
  • integrated within a tool (used with AMAT Producer SE).

To reduce footprint further compared to conventional installations, Alcatel engineers have proposed a compact frame that allows integration of up to six A100 iPUP pumps with optional roots blowers. The footprint gain is between 30% and 50% for the six pumping systems needed for a cluster tool.

In use, the iPUP helps reduce vacuum system fan-out between cleanroom and basement. In existing fabs, users can squeeze tools into areas previously considered impossible. It is also possible to design new fabs with higher tool density/floorspace.

To date, Alcatel has shipped more than 1000 A100 iPUPs, particularly on Applied Materials 200 and 300mm tools.

Use of the Alcatel A100 iPUP has also enabled power savings (ultimately an environmental issue) and a dramatic reduction in fab operational costs (see table), where, according to International Sematech, vacuum pumps consume ~15% of a typical fab's power.

Although integrated pumps require more tool engineering, they are not much more expensive when the costs of integration are compared to the costs of installing remote pumps in a fab. Fitting a typical four-process chamber tool with loadlocks with 10m long vacuum lines to remote pumps could cost around $100,000. One must also consider cases where fabs are reconfigured when products or processes are changed; in these cases, often completely new vacuum lines must be installed, whereas integrated pumps move with their respective tools.

Exhaust ventilation (extracting gases contained in dry pump enclosures) also has an advantage with integrated pumps. The A100 iPUP, for example, requires ~8m3/hr of ventilation while an equivalent 500 m3/hr dry pump requires 85 m3/hr. This represents further reductions in power consumption, capital investment, and floor space.

While it is beyond our ability to provide the supporting data, we can also assume some potential process improvements with integrated vacuum pumps. For example, the shorter smaller-diameter forelines of integrated pumps have a much smaller surface on which process reaction byproducts can condense, meaning that there is less accumulation of particles (in addition, less cleaning and maintenance means easier heating and greater uptime). We also assumed that this configuration reduces particle backstreaming.

Mounting pumps near the tool also eliminates the influence of variable foreline configurations on tool performance. A process qualified in a fab can easily be moved within the same fab or to another without a time-consuming re-qualification. Finally, the A100 has the ability to vary pumping speed, facilitating process pressure control over a wide range. This ability can replace other pressure control systems.

Patrick Colin is iPUP product manager at Alcatel Vacuum Technology.

Paul Gillot is marketing manager at Alcatel Vacuum Technology, 98 Avenue de Brogny BP 2069, 74009 ANNECY Cèdex, France; ph 33/4-5065-7609, fax 33/4-5065-7582, e-mail [email protected].