Top Products of 2003
12/01/2003
Following is an expanded list of the Top Products of 2003 in semiconductor/thin-film processing that received votes from Solid State Technology's editorial advisory board.
Step-and-scan system images down to 80nm
|
The TWINSCAN AT:1200B step-and-scan system is a high numerical aperture (NA) 193nm system that extends ArF imaging technology for volume production of devices with features as small as 80nm. The dual-stage technology exposes one wafer while simultaneously aligning and measuring the next, enabling continuous patterning. The AT:1200B combines the imaging power of a variable 0.60–0.85 NA Carl Zeiss StarLith 4× reduction lens with AERIAL II illuminator technology. The system has throughput of 103wph (300mm) or 150wph (200mm), resolution of 80nm, field size of 26 × 33mm, overlay (single machine) 12nm or (matched machine) 20nm, and a 20W ArF laser. ASML, Veldhoven, The Netherlands; ph 31/402-682-602, [email protected], www.asml.com.
FIB system features fast editing for 90nm node
|
The VectraVision advanced focused ion beam (FIB) system is for circuit edit applications at the 90nm process node. The tool enables chipmakers to rapidly modify circuit functionality and verify new circuit designs. The VectraVision allows backside editing of flip-chip packaged parts, and also offers easy access to features of interest that can be buried under up to nine layers of front-side metal. FEI Co., Hillsboro, OR; ph 503/640-7500, [email protected], www.feicompany.com.
300mm coater/developer offers flexible setup
|
CLEAN TRACK LITHIUS targets stable production at the 70nm technology node. It is capable of 150wph throughput. LITHIUS offers flexible one-block and two-block configurations. The system is designed to increase productivity by introducing Ingenio TL CT to deal with e-manufacturing. Also, by adopting a modular block structure, the system can reduce manufacturing and start-up time. Automatic adjustment and engineering support tools for LITHIUS modules reduce calibration time and process variations caused by a manual adjustment process. Tokyo Electron Ltd., Tokyo, Japan; ph 81/3/5561-7406, [email protected]; (in US) ph 512/424-1533, [email protected], www.tel.co.jp.
e-beam inspection system combines speed and sensitivity
|
The eS30 e-beam inspection system combines speed, sensitivity, and ease-of-use into a single platform for all phases of the IC technology lifecycle. Significant reductions in tool overhead, including wafer loading and set-up time, coupled with faster scanning speed and ease-of-use enhancements, effectively triple the throughput of the eS30 compared to the manufacturer's eS20XP system to enable whole-wafer, high-sensitivity inspection in less than an hour for many applications. KLA-Tencor, San Jose, CA; ph 408/875-0024, todd.henry@ kla-tencor.com, www.kla-tencor.com.
ArF scanner projects 85nm features
|
The FPA-6000AS4 ArF scanner boasts a numerical aperture of 0.85 and an ultralow-aberration lens system. Used with a dual-chamber 193nm light source, the scanner projects features as small as 85nm. Synchronized wafer scan speed is 500mm/sec. The scanner is designed for a dual-chamber excimer laser light source, offers 0.08µm resolution, and has a 4:1 reduction lens system that incorporates CaF2 materials along with the manufacturer's proprietary wave-front engineering process to achieve ultralow aberrations. Canon, San Jose, CA; ph 408/468-2265, [email protected].
Wafer geometry tool handles 90nm and below
|
The WaferSight optical flatness metrology tool provides high-throughput wafer mapping of shape, flatness, and thickness variations. High-grade optics along with precision engineering enable both surfaces of a 300mm wafer to be mapped during a single acquisition, ensuring precise measurements. Built for in-line metrology during wafer production, WaferSight models are available for both 300mm and advanced 200mm wafers. Both models meet industry roadmap requirements for wafer flatness metrology down to 35nm design rules. ADE, Westwood, MA; ph 781/467-3500, www.ade.com.
Metrology system features wireless communication
|
The Accura C metrology system for advanced lithography is robot-loadable and is for lithography tracks with two or more robot arms. The system provides precise thermal data from real-time measurements of transient and steady-state temperature, optimizing equipment and system-to-system performance. Designed with Bluetooth RF technology for wireless communication, the Accura C transmits thermal data instantaneously to the manufacturer's Thermal MAP software, allowing users to better understand wafer processing environments and characteristics. SensArray, Fremont, CA; ph 510/360-5600, www.sensarray.com.
Overlay metrology for 65nm reduces measurement uncertainty
|
By providing data that correlate precisely to in-device overlay performance, the Archer AIM system enables lithographers to achieve tighter overlay control for 65nm and below production. Archer AIM's new grating-style targets are denser than traditional box-in-box targets, resulting in the collection of more process information for improved correlation to in-device overlay performance. Archer targets can be customized (segmented) for each layer to meet specific customer requirements. These targets are also more robust to CMP processing, especially with new materials, since the targets have less open area within which these advanced processes can cause target degradation. Archer AIM has throughput >150 300mm wafers/hr. KLA-Tencor, San Jose, CA; ph 408/875-5473, [email protected], www.kla-tencor.com.
Laser profiler combines interferometer and reflectometry
|
The Nano CLP-9010 copper laser profiler nondestructively monitors the metal loss between an isolated copper feature and the surrounding dielectric region. Unlike reflectance-only methods, the laser profiling technology is immune to previous level patterning noise that can cause unreliable end-pointing of the polishing process. The profiler ensures the copper CMP process can be continuously monitored, improving both wafer yield and cost efficiency. The Nano CLP-9010 module uses a differential interferometer and the company's spectroscopic reflectometry for direct measurement of feature surface profiles. The data are combined to yield the height profile of the measured features, and the metal loss is calculated from the height profile. Nanometrics Inc., Milpitas, CA; ph 408/435-9600 ext 264, [email protected], www.nanometrics.com.
Laser pattern generator performs like wafer stepper
|
The Sigma7300 laser pattern generator's resolution and pattern accuracy are targeted to volume production at the 90nm and 65nm technology nodes. The system works like a micro-stepper with a programmable mask. It is based on this company's spatial light modulator (SLM) technology. The SLM is an IC with a flat, mirror-like surface. DUV light is reflected off the surface of the SLM to expose photoresist on a photomask blank, forming the pattern of the mask. The tool uses a 248nm laser with 2kHz flash rate and a 0.82 NA. It employs many of the same resolution enhancement techniques that wafer steppers use to achieve maximum resolution and CD control. Micronic Laser Systems AB, Taby, Sweden; ph 46/8-638-5200, [email protected], www.micronic.se.
Metrology tools inspect up to 120wph
|
These stand-alone and integrated 200mm and 300mm metrology tools for 65nm and 45nm nodes have the repeatability and matching needed to enable reliable process control. The capabilities of the ultra-II tools on CVD etch/polish stops and hard masks enable low-k integration. MetaPULSE-II provides fab-proven second-generation pulse technology for all stages of copper integration from ultrathin barrier deposition to post-CMP residual barrier, dishing, and erosion process control. WaferView automates macro-defect inspection for lithography or CMP at speeds of up to 120wph. Rudolph Technologies Inc., Flanders, NJ; ph 973/448-4316, [email protected], www.rudolphtech.com.
300mm coating/developing system for DUV lithography
|
The RF3 300mm coating/developing system for advanced DUV lithography delivers 150wph throughput, which meets the demand of next-generation scanners. Plans are in place to increase this capability to 180wph. The RF3 is designed with a flexible, modular structure that enables customer-configurable designs with short lead times and quick installs. Dainippon Screen Mfg. Co. Ltd., Sunnyvale, CA; ph 408/523-9140, [email protected], www.screen.co.jp.
Air sampler for lithographers detects <10ppt
|
The Vantara continuous air sampling system gives lithographers the power to detect and measure molecular contaminants at <10 parts per trillion (ppt), a capability essential to understanding and preventing optics contamination in 193nm and 157nm lithography. Very low concentrations of certain organic contaminants show up on deep UV optical elements as damaging films, which cause transmission loss, illumination nonuniformity, and light scattering. Ultimately, these contaminants diminish exposure tool productivity, image quality, and optics lifetime. The Vantara system provides an accurate and convenient way of collecting ultra-low levels of condensable-organic species from molecular weights of C6 to C30. The system incorporates a sampling device and analytical method to separate, quantify, and identify individual organic components at a detection limit of <10ppt, or approximately 0.001µgm/m3. Because it collects air samples continuously, Vantara provides lithographers with a cumulative view of contamination exposure history. Extraction Systems, Franklin, MA; ph 508/553-3900, [email protected].
Metrology tool measures thin films
|
The S-MAT 2300 tool accurately measures thin films, including those of future device generations, including the 45nm node. Among parameters that can be measured are layer thickness, density, composition, and roughness. For porous layers, the void fraction, average pore size, and pore size distribution can be measured non-destructively using a variety of x-ray based measurements including x-ray reflectivity (XRR), x-ray fluorescence (XRF), and small angle x-ray scattering (SAXS). The S-MAT 2300 is for use in both fab support and fab roles, and is a bridge tool that can handle 200mm and 300mm wafers. Technos International Inc., Tempe, AZ; ph 480/775-4100, [email protected], www.technos-intl.com.
Spectroscopic ellipsometer handles many films
|
The model IRSE-300 automated, high-resolution, high-sensitivity infrared spectroscopic ellipsometer can characterize a wide diversity of advanced materials and films, including low-k dielectric films, thin epitaxial layers, thin diffusion barrier layers and copper seed layers, and deep-etched structures and shallow recesses. The IRSE-300 accommodates 200mm and 300mm wafers, and can be configured with open cassettes, SMIF and/or FOUP for fully automated characterization of films on unpatterned or patterned wafers. SOPRA, Westford, MA; ph 978/392-2089, [email protected], www.SOPRA-SA.com.
Wafer inspection tool offers high sensitivity
|
The Negevtech 302 is a wafer inspection tool designed for entry at the 90nm level with extension to the 65nm level and beyond. The tool is a high-resolution brightfield imaging system that provides high sensitivity and throughput, as well as significant improvement in cost of ownership. The core technology of the 302 is Step&Image, a 2D imaging concept that is very different from the current linear CCD brightfield inspection technology. The 302 inspection tool can detect critical defects of sizes below 50nm at a throughput considerably higher than existing brightfield systems. Negevtech, Santa Clara, CA; ph 408/486-9831, paul_shirra @negevtech.com, www.negevtech.com.
Etch system streamlines processes
|
The Producer Etch system can be used in applications such as aluminum via, bond pad, and spacer etch across multiple device generations. The system features high-speed, dual-wafer handling, and can be configured with up to three Twin Chamber modules for simultaneous processing of six wafers. The system meets critical process requirements such as striation-free, damage-free, and profile-controlled etching. According to the company, Producer Etch reduces capital costs for high-volume chip manufacturing. Applied Materials Inc., Santa Clara, CA; ph 408/986-7877, www.appliedmaterials.com.
Handheld particle counter has 0.2??m sensitivity
|
The Handheld 2016 particle counter is claimed to be the first and only handheld counter with 0.2µm sensitivity. It displays six channels of particle data in either cumulative or differential mode, as well as temperature and relative humidity data. The user-friendly graphical interface is displayed on a backlit LCD touch-screen display, and a user-removable lithium ion battery simplifies portable usage. The Handheld 2016 can be used as a mobile particle monitor or can become part of a larger facility-monitoring system. Lighthouse Worldwide Solutions, Milpitas, CA; ph 408/945-5905, [email protected], www.golighthouse.com.
System handles 300mm inspection at low cost
|
The AIT Fusion UV is new part of a family of products for high-throughput, high-sensitivity double-darkfield inspection systems developed to address the needs of 300mm inspection and excursion monitoring at 100nm design rules and below. The system provides detection capability for current-layer defects at speeds up to four times faster than the manufacturer's previous-generation system, including layers with grain, high color variation, or other noise sources such as CMP layers, copper/low-k interconnects, and multilayer film stacks. The AIT Fusion UV boasts low cost-of-ownership and performs defect classification inline at high speeds. KLA-Tencor, San Jose, CA; ph 408/875-5208, [email protected], www.kla-tencor.com.