Issue



Product Panorama


07/01/2003







Metrology tool measures thin films

Click here to enlarge image

The S-MAT 2300 tool accurately measures thin films, including those of future device generations, including the 45nm node. Among parameters that can be measured are layer thickness, density, composition, and roughness. For porous layers, the void fraction, average pore size, and pore size distribution can be measured non-destructively using a variety of x-ray based measurements including x-ray reflectivity (XRR), x-ray fluorescence (XRF), and small angle x-ray scattering (SAXS). The S-MAT 2300 is for use in both fab support and fab roles, and is a bridge tool that can handle 200mm and 300mm wafers. Technos International Inc., Tempe, AZ; ph 480/775-4100, [email protected], www.technos-intl.com.

Microscope camera delivering full view

Click here to enlarge image

The MacroFire is a mega-pixel digital microscope camera designed to digitally capture the full 22mm field-of-view from a variety of microscopic imaging applications. MacroFire incorporates a four-megapixel CCD that measures 21.4mm in diagonal with 2048 ¥ 2048 pixels that are 7.4µm square, the optimal size for photomicrography applications. The MacroFire incorporates its own applications for camera control that run within the included PictureFrame imaging application suite. PictureFrame will paint a full-resolution color image on any large-format monitor at live imaging rates. The user can superimpose the camera controls over this image in any chosen position or display the image without borders or controls, for additional image depth and detail. Optronics, Goleta, CA; ph 866/478-4336, [email protected], www.optronics.com.

Mass flow controller programmed with 10 gases

Click here to enlarge image

The Smart-Trak digital mass flow controller offers an easy-to-use interface, rugged stainless steel construction, and digital performance. For applications where elastomer seals are acceptable, it is now possible to have premium digital performance that was previously reserved for metal seal devices. Smart-Trak's Dial-A-Gas feature allows a user to control a variety of gases from a single instrument. The controller may be operated from a pilot module or from a computer, using the standard RS-232 interface. The Smart-Trak microprocessor is programmed with 10 gases that are commonly used in biotech, pharmaceutical, analytic, and research applications. Other gases may also be specified to create a custom instrument. Sierra Instruments, Monterey, CA; ph 800/866-0200, [email protected], www.sierrasmarttrak.com.

e-beam inspection system combines speed and sensitivity

Click here to enlarge image

The eS30 e-beam inspection system provides the throughput and production worthiness required for electrical line monitoring. The eS30 combines speed, sensitivity, and ease-of-use into a single platform for all phases of the IC technology lifecycle, enabling chipmakers to reap gains in yield and fab ROI. Significant reductions in tool overhead, including wafer loading and set-up time, coupled with faster scanning speed and ease-of-use enhancements, effectively triple the throughput of the eS30 compared to the manufacturer's eS20XP system to enable whole-wafer, high sensitivity inspection in under an hour for many applications. KLA-Tencor, San Jose, CA; ph 408/875-0024, [email protected], www.kla-tencor.com.

Analyzer detects many gases

Click here to enlarge image

The LaserTrace moisture and oxygen analyzer is based on an emerging technology called cavity ring-down spectroscopy, which allows for fast, accurate, and easy detection of contaminants. The LaserTrace is a multispecies, multipoint, and multigas analyzer that can detect H2O, CH4, H2, CO, NH4, H2S, HF, and other species. The analyzer uses fiber optic connections and affords remote monitoring of up to four different analytes, at four sample points on as many as four different gases. Tiger Optics, Warrington, PA; ph 215/343-6600, [email protected], www.tigeroptics.com.

Robot handles 300mm wafers

Click here to enlarge image

This 300mm wafer-handling robot allows easy upgrade of 200mm or nonautomated systems with minimal investment. An over-under transfer scheme permits wafer handling within a small envelope and minimizes wafer exchange time. The robot's low height and surface mount enable system designers to use more space around the robot for integration of electronics, instrumentation, and other automation. Ludl Electronic Products Ltd., Hawthorne, NY; ph 888/769-6111, [email protected], www.ludl.com.

X-ray metrology tool is highly versatile

Click here to enlarge image

The JVX 5200 x-ray metrology tool nondestructively measures film thickness, density, roughness, and elemental composition. Single films or complex stacks of all types can be measured with angstrom-level resolution and precision. Pattern recognition and a submicron XRF spot enable product wafer measurements, while XRR measurements can be made in areas as small as 100 ¥ 500µm. The tool can characterize single- or multilayer metals/alloys, high k, low k (including porosity and pore size), silicides, SiGe, ONO, SION, MRAM, and more. Jordan Valley Semiconductors Inc., Austin, TX; ph 512/832-8470, [email protected], www.jordanvalleysemi.com.

Dielectric etch system

Click here to enlarge image

The Enabler Centura targets processing challenges arising from the growing variety of integration schemes and the increasing use of low-k films. The Enabler delivers high on-wafer etch rate and CD uniformities, and high-volume repeatability on various organic, inorganic, and porous films under consideration for the most advanced technology nodes in any integration sequence. Key to the tool's precision at even the smallest geometries are its unusually large operating pressure range and independent tuning controls for plasma density creation, ion energy acceleration, plasma uniformity, and gas flow distribution. Especially significant for dual damascene applications is Enabler's all-in-one clean-mode operation, made possible by a dual-regime, high-frequency top source. This can be tuned for either low-dissociation, high-selectivity etching or high-dissociation operation. Applied Materials Inc., Santa Clara, CA; ph 408/986-7877, www. appliedmaterials.com.

Spectroscopic ellipsometer handles many films

Click here to enlarge image

The model IRSE-300 automated, high-resolution, high-sensitivity infrared spectroscopic ellipsometer can characterize a wide diversity of advanced materials and films, including low-k dielectric films, thin epitaxial layers, thin diffusion barrier layers and copper seed layers, and deep-etched structures and shallow recesses. The IRSE-300 accommodates 200mm and 300mm wafers, and can be configured with open cassettes, SMIF and/or FOUP for fully automated characterization of films on unpatterned or patterned wafers. SOPRA, Westford, MA; ph 978/392-2089, [email protected], www.SOPRA-SA.com.

Wafer inspection tool offers high sensitivity

Click here to enlarge image

The Negevtech 302 is a wafer inspection tool designed for entry at the 90nm level with extension to the 65nm level and beyond. The tool is a high-resolution brightfield imaging system that provides high sensitivity and throughput, as well as significant improvement in cost of ownership. The core technology of the 302 is Step&Image, a 2D imaging concept that is very different from the current linear CCD brightfield inspection technology. The 302 inspection tool can detect critical defects of sizes below 50nm at a throughput considerably higher than existing brightfield systems. Negevtech, Santa Clara, CA; ph 408/486-9831, paul_shirra @negevtech.com, www.negevtech.com.

Technology platform merges four systems

Click here to enlarge image

The Shuttleline platform for R&D and pilot production merges the technology of four of this company's systems, leveraging experience gained from an installed base of more than 650 tools. The multiprocess platform for thin-film etching and dielectric deposition, including ICP, RIE, and PECVD, provides process solutions that can be applied to many markets and a variety of devices, such as LEDs, HBTs, HEMTs, SAW, photonics, and MEMS. The Shuttleline process chambers come standard with vacuum loadlock and user-friendly software. Unaxis Semiconductors, St. Petersburg, FL; ph 727/577-4999, [email protected], www.semiconductors.unaxis.com.

ArF scanner projects 80nm features

Click here to enlarge image

The FPA-6000AS4 ArF scanner boasts a numerical aperture (NA) of 0.85 and an ultralow-aberration lens system. Used in conjunction with a dual-chamber 193nm light source, the scanner can project features as small as 80nm. Synchronized wafer scan speed is 500mm/sec. The scanner offers 80nm resolutions and has a 4:1 reduction lens system that incorporates CaF2 materials along with a proprietary wave-front engineering process to achieve ultralow aberrations. Canon, San Jose, CA; ph 408/468-2265, [email protected].

Analyzer provides ultrahigh resolution

Click here to enlarge image

The high-throughput 3700RT analyzer address maskmakers' need for ultrahigh-resolution metrology for advanced photomasks. Both reflectance and transmittance are measured simultaneously by this dual spectrophotometer-based system. Measurements of thin films, phase shift resulting from phase-shift masks, critical dimensions, trench depth, and etch characteristics (over, under, or target etch) can be quickly and accurately measured and mapped. Results closely correlate with laser interferometer and CD-SEM measurements. n&k Technology Inc., Santa Clara, CA; ph 408/982-0840, [email protected], www.nandk.com.

FOUP eliminates vacuum cups

Click here to enlarge image

The ADO (automatic door opener) 300mm loadport front-opening interface mechanical standard product features a patented latch-key design. This FOUP (front opening unified pod) loadport latch-key ADO opening mechanism eliminates the need for vacuum cups, ensuring reliable and repeatable pod door opening, minimizing errors and particulate contamination, and providing high throughput under Class 1 cleanroom conditions. This design feature also eliminates the preventive maintenance cycles associated with keeping vacuum cups clean. Newport Corp., Irvine, CA; ph 949/863-3144; [email protected], www.newport.com.

Parametric test system offers DC/RF wafer testing

Click here to enlarge image

The Model S600DC/RF APT system is a single-insertion DC/RF parametric test solution that can be used to assure reliability in product designs and processes by measuring critical device parameters during development, characterization, and production. When used with a suitable test structure layout, the system can execute independent DC and RF tests in parallel on separate probes, greatly reducing the time and cost of testing advanced devices. DC and RF measurements can be made up to 10Ghz for applications such as device modeling, process monitoring, and general analysis of complex signals. The system is compatible with both 200mm and 300mm probers. Keithley Instruments, Cleveland, OH; ph 888/534-8453, [email protected], www.keithley.com.

High-throughput wafer inspection systems

Click here to enlarge image

The AXi series of automated wafer inspection systems are for applications in front-end wafer fabs. These systems provide affordable macro-defect detection, review, and classification, and can detect defects 0.5µm and larger. The AXi series combines high resolution and high throughput; the system can inspect 100mm–300mm wafers, at a throughput of up to 120 200mm wafers/hour. The systems can be integrated seamlessly into factory automation networks. August Technology Corp. Bloomington, MN; ph 952/259-1647, [email protected], www.augusttech.com.

Photomask metrology tool

Click here to enlarge image

The GXR-EUV is an x-ray based metrology tool for characterization of multilayer mirror photomasks and blanks as used in EUV ..photolithography. The GXR-EUV measures such critical properties as the period of the multilayer (the thickness of Mo/Si or Mo/Be bilayers), densities, surface roughness, and interfacial roughness. The thickness and properties of the absorber and buffer layers at the top of the EUV multilayer structure can also be characterized. The tool is available with a pod-based (SMIF or FOUP) automation system that accepts standard six-by-six-in. reticles. Rigaku/MSC Inc., Semiconductor Div., Austin, TX; ph 512/249-0010, www.rigakumsc.com.

Picking device

Click here to enlarge image

The noncontact transfer (NCT) is a picking device that operates by the Bernoulli principle, which applies airflow under the device ..to create a vacuum and lift force between the center and the circumference. The dynamic vacuum and continuous flow keep the lifted object from attaching to the NCT surface, thus enabling delicate items to be handled. The NCT is for applications where vacuum technology with suction cups is not feasible because good contact cannot be made with an object's surface. The NCT series is available in four sizes and a variety of designs that accommodate lift capacities to 0.6 kg, about 1.3 lb. Bosch Rexroth Corp. Pneumatics, Lexington, KY; ph 859/281-3491, www.boschrexroth-us.com.

Laser thermal processing systems

These laser thermal processing (LTP) systems combine projection optics and laser thermal annealing to enable a new generation of semiconductor devices. This chip manufacturing technology overcomes process barriers associated with advanced junction and contact formation at 0.1µm and below. LTP products include the LTP system and process, which provide fast circuits through high drive currents and low Miller capacitance. By combining projection optics and thermal processing in a single tool, this technology provides IC manufacturers an advantage by allowing local annealing (die-by-die processing). Ultratech Stepper, San Jose, CA; ph 408/321-8835, www.ultratech.com.

Low-k dielectric resin

Developed with input from a key customer, SiLK D semiconductor dielectric resin improves upon the performance of SiLK I resin products by maintaining a dielectric constant of 2.6, but offering a more controlled coefficient of thermal expansion and improving device yields. SiLK D also boasts improved drying characteristics and enhanced polarization. SiLK D formulations are available in 200 and 300mm versions, covering thickness ranges of 60–700nm. The Dow Chemical Co., Midland, MI; ph 989/636-9717, Lrobinson@ dow.com, www..dow.com/silk.

Clean process ovens

These clean process ovens offer a 350°C maximum operating temperature while maintaining Class 100 conditions throughout the entire process cycle. The LCD stackable clean process oven and the LCD 2-14 reach-in cleanroom oven are suitable for high-temperature polyimide curing applications, as well as other curing and production applications in the semiconductor and electronics industries. Recirculated airflow is 100% HEPA (high efficiency particulate air) filtered to maintain Class 100 conditions throughout the entire process cycle — even during the LCD 2-14's 5°C/minute ramp rates, reducing overall cycle time. Both ovens are Semi S2 and CE compliant for universal acceptance and are configured for multiple voltages and 50/60 Hz. Despatch Industries, Minneapolis, MN; ph 800/828-9903, www.despatch.com.

Low-pressure monitor

Click here to enlarge image

The Echoline low-pressure monitor has been UL 991 tested. It provides remote or point-of-use low pressure monitoring of gas cabinet exhaust systems during semiconductor manufacturing processes, alerts plant engineers to problems, and provides automatic adjustment of high and low trip points before and after system maintenance. UL 991 recognition applies to solid-state control devices intended for safety-related functions. Echoline also conforms to S2-0200 and CN EN61326 EMI requirements. A maintenance mode feature prevents false trips during ventilation system balancing and simplifies reset after maintenance. Precision Sensors, Milford, CT; ph 203/877-2795, www.precisionsensors.com.

Inspection system

Click here to enlarge image

The µCT-F.O.X. system is for detailed 3-D inspection and analysis of complex electronic devices. It includes functional 2-D and 3-D modules in a single system, and visualizes the innermost components and precise structural modeling of a device. This system combines the flexibility, functionality, and ergonomics of a standard microfocus x-ray system with the ability to generate 3-D imagery. Cracks, voids, delamination, and other anomalities can be ..depicted in their actual 3-D position. The system is suited for sensor, MEMS/MOEMS, and complex electromechanical components inspection applications. FeinFocus, Stamford, CT; ph 203/969-2161, www.feinfocus.com.

Vacuum soldering

Click here to enlarge image

The VLO40 vacuum soldering system is a freestanding bench-type vacuum soldering system that offers easy access, loading, and programming. The VLO40 was developed for ..R&D and small batch applications that require high quality solder processing under vacuum conditions. The system provides short pumping cycles, two view ports, and the ability to mount light sources, microscopes, or cameras directly onto the lid. The heating and cooling platens offer extremely rigid construction and improved uniformity from the cooling water injection system and high-power, cross-wire heating system. Process atmospheres available are nitrogen, forming gas and formic acid. Centrotherm Technologies, Danvers, MA; ph 978/777-9859, www.centrothermtech.com.

Easily networked furnace system

Click here to enlarge image

The SuperTMX furnace system replaces Thermco's TMX 9000, 10,000, and 12,000 series MUX controllers, as well as UNIX-based systems for Thermco furnaces. The Super TMX ..software provides complete recipe and configuration editing, process status, and alarm and trace data viewing. A Windows platform allows easy networking, and optional Web and wireless communication capabilities provides Internet/Intranet-based remote access. Furnace data, recipe information, and other critical information can be accessed from any PC on the network. Jon Goldman Associates, Orange, CA; ph 714/283-5889, www.jga-inc.com.

Reticle evaluation station

The AIMS "fab plus" station for actinic reticle evaluation combines the AIMS fab with handling functionality. The station now enables flexible automated mask loading from a SMIF interface or from reticle boxes, required for use in wafer fabs. By selecting stepper equivalent optical conditions, the printability of mask patterns or defects is emulated and can be analyzed. High repeatability of measurement results is standard for reticle qualification and process development in the fab. Incoming mask quality control, stepper parameter optimization, and mask re-qualification are speeded up. Mask evaluation stations are available for 249 or 193nm exposure tool simulation. Carl Zeiss Microelectronic Systems GmbH, Jena, Germany; ph 071/71-77 72 13, www.zeiss.de/microelectronic.

Thin-film SOI wafers

The complete line of Unibond SOI wafers is available up to 300mm, including both thin film and thick film versions, in high volumes. Proprietary Smart Cut Technology, based on ion implantation and wafer-bonding techniques, is used to produce the SOI wafers. Unibond thin-film wafers are produced in varying degrees of silicon-layer depletion to accommodate chipmakers' demands for very thin (down to 70Å) and uniform oxide layers required for advanced ICs and other high-end devices. Unibond thick-film SOI wafers are available in top layer thicknesses ranging from 1.5µm to several tens of microns with thickness uniformity of ±2% (3s). Soitec, Bernin, France; ph 650/251-9066, www.soitec.com.

Tabletop vacuum solder reflow station

The Model 1200 tabletop vacuum solder reflow station is designed for process development and low volume production of flux-free and void-free soldered joints in microelectronic packages and components. The station is easy to profile for a wide variety of soldering tasks. A ramping temperature controller is combined with customized PLCs to provide automatic process control. The aluminum process chamber is accessed via a manually locking lid for rapid entry and sealing. Control of the processing chamber is integrated with the temperature through automatically actuated solenoid valves. SST International, Downey, CA; ph 562/803-3361, www.sstinternational.com.

MEMS wafer and substrate handling

Handling tools for MEMS wafers and substrates include mechanical wafer edge pick devices, edge-grip vacuum tips, small metal process cassettes, miniature flat finders, mass transfer machines, and inspection stations. These tools overcome the handling problems of standard vacuum wands, which often cannot be used for MEMS wafers due to the wafers' small size and presence of holes and/or devices on both sides. H-Square Corp., Sunnyvale, CA; ph 408/734-2543, www.h-square.com.

Equipment platform for low cost/die solutions

The Pro generation of equipment platforms delivers low cost/die solutions for single-wafer plasma processes across a range of applications. Equipment is available with the manufacturer's plasma etch and deposition sources in loadlocked or cluster format. It delivers a compact footprint with the latest generation of PLC-based control system, providing enhanced communications, reliability, and functionality, coupled with fast and simple maintenance operations. For MEMS silicon micromachining applications, etch rates of up to 20µm/min are available. Surface Technology Systems plc, Redwood City, CA; ph 650/569-3655, www.stsystems.com.

Ion emitter bar

The ScorpION ion emitter bar controls electrostatic charges in semiconductor and display manufacturing facilities. The bar's aerodynamic shape minimizes disturbance of unidirectional airflow, and its small size and 24VDC supply requirements make it well suited for use inside tools, flow benches, and cleanroom mini-environments. The ScorpION requires only an IR remote controller; a mounted, hardwire controller is not needed. Set-up and adjustment is done via IR remote. Operating parameters are stored internally and are microprocessor-controlled to ensure operational stability and consistent performance. Simco, Hatfield, PA; ph 215/997-0590, www.simco.biz.

X-ray detectors

Additions to the Genesis XMS x-ray detector systems include CryoSpec, a reliable LN free Si(Li) EDS detector for the electron microscope; MegaSpec, a silicon-drift EDS detector that incorporates the manufacturer's latest digital pulse processing electronics and is capable of short shaping times and input count rates in excess of 500,000 counts/sec; and LambdaSpec, a WDS spectrometer. All these detectors can be fully integrated into the Genesis XMS and are compatible with the Pegasus EDS-EBSD systems, offering a complete package for microanalytical materials characterization. EDAX Inc., Mahwah, NJ; ph 201/529-6184, www.edax.com.

Plasma treatment system

Click here to enlarge image

The XTRAK-IFP plasma treatment system incorporates ion-free plasma technology for treatment of devices without exposure to direct ..plasma glow discharge. The system is for both high-speed, in-line manufacturing operations and stand-alone configurations where one plasma station supports an island or group of equipment. The system's open carrier architecture accommodates a wide variety of products, including strips, process carriers, and larger/heavier components. The XTRAK platform easily integrates with most process equipment, including wirebond, die attach, dispense, mold, and marking equipment. March Plasma Systems, Concord, CA; ph 760/930-3324, www.marchplasma.com.

Controlled inner chamfer capillary

The CIC capillary permits the use of thicker wires in fine-pitch and ultrafine-pitch applications, improving yields and bonding performance. The CIC (controlled inner chamfer) capillary contains most of the additional gold generated from a free air fall during bonding in its inner chamfer, instead of allowing the gold to exceed the bond pad area during the first bond formation. By reducing the production of large ball diameters, the CIC capillary enables the use of thicker wire bonding for UFP applications (50µm and below), reducing the problem of wire sweeps and short failures associated with thinner wires. Kukicke & Soffa Industries, Willow Grove, PA; ph 215/784-6795, www.kns.com.

High-purity water system

Click here to enlarge image

The CDI-LX electrodeionization system provides an uninterrupted supply of high-purity water, up to 18megohm-cm, without any need for regeneration materials. The system is pre-engineered to meet the stringent requirements of semiconductor manufacturing customers, including resistivity, particles, silica, boron, and TOC. The CDI-LX offers a reliable, industrial design with simple installation, start-up, and operation. USFilter, Schaumburg, IL; ph 800/466-7873, www.usfilter.com.

Valve for precise fluid delivery

The DispenseJet DJ-2100 series valve for jetting underfill achieves precise fluid delivery control at production speeds. Jetting underfill enables users to produce "wet-out zones" — areas where fluid wets the substrate away from the edge of the component after dispensing — of less than 350µm. More than 2000 units/hour can be processed on a 5mm ¥ 5mm die. Dense designs on printed circuit boards, flex circuits, and stacked packages benefit from the speed and control that jetting delivers. Asymtek, Carlsbad, CA; ph 760/431-1919, www.asymtek.com.

Dry pump

The BA100 cooled dry pump offers 77 ft3/hr and uses dry screw technology in a compact package. The pump can replace oil-filled rotary pumps, offering reduced cost of ownership because the BA100 requires no water cooling, no nitrogen during operation, and reduced power. The pump is well suited for etch, CVD, epitaxy, PVD, and implant applications, as well as load lock, transfer, and pre-clean chambers. Busch Semiconductor Vacuum Group, Morgan Hill, PA; ph 408/782-0800, www.buschsvg.com.

Front and backside wafer probe

Click here to enlarge image

This double-sided probing station can probe the backside and front side of the wafer simultaneously, front side only, or back side only. The ..station is designed for emission microscopy, optical device characterization, and MEMS analysis. The 8000 series probe stations are designed for 200mm wafers; the 9000 series stations for 300mm wafers. Existing probe stations can be returned to the manufacturer to be retrofitted to accommodate the wafer carrier frame. The Micromanipulator Co. Inc., Carson City, NV; ph 775/882-2400, www.micromanipulator.com.

Moisture analyzers

The models 3050-AM, 5830, and 5920 moisture analyzers provide multigas capability, high accuracy, fast response, and online verification. Each analyzer features a quartz-crystal moisture microbalance sensor and sampling system, a display that offers quick access to all operating variables, and software-driven gas selection that eliminates all manual adjustments. These analyzers also offer a standard online zero-verification system to confirm the sensor's baseline stability and sensitivity to low moisture concentrations. Ametek Process Instruments, Newark, DE; ph 302/456-4451, www.ametekpi.com.

Thermal processing furnace systems

Click here to enlarge image

These high and low-temperature thermal processing furnace systems for small-batch, semiconductor process requirements feature a small ..footprint, like a tabletop or bench model, yet provide many high production features. The furnace systems have a flexible design that features a patented heating technology for temperature uniformity with reduced power and gas consumption. Both the 100mm and 150mm substrate models are stackable up to two tubes, while the 200mm and 300mm substrate models come in single-tube stand-alone units. No back access is required, so units can be placed side-to-side, increasing space utilization. MRL Industries, Sonora, CA; ph 209/536-6128, www.mrlind.com.

Pressure transducers

PTU series ultrahigh-purity pressure transducers feature metal thin film sensing technology to promote consistent, accurate performance. The sensing technology enables reliable readings over a wide range of temperatures and offers a stability rating of less than 0.2% of full scale/year. Interchangeable electronics provide flexibility, reduce inventory, and simplify and speed maintenance. Swagelok Co., Solon, OH; ph 440/349-5934, [email protected], www. swagelok.com.

On-site fluorine generator

The Generation-F 400 is a larger-capacity addition to this company's Generation-F family of on-site fluorine generators for CVD chamber cleaning. The system supports fluorine plasma cleaning of semiconductor and LCD tool platforms. On-demand electrolytic fluorine production is integrated with purification, compression, and storage in a ventilated enclosure. System output is rated at 400 standard liters/.hr. BOC Edwards, Wilmington, MA; ph 800/848-9800, www.bocedwards.com.

Wafer and reticle handlers

This new wafer and reticle carrier (WRC) product line includes several silicon wafer and lithography reticle carriers, including 300mm front-opening unified pods, 200mm standard mechanical interface (SMIF) pods, 150mm SMIF pods, 150mm single- and multiple-reticle SMIF pods, and 200mm single-reticle SMIF pods. These new tools are engineered to provide secure wafer and reticle protection and precise automation interface, increasing yields and minimizing unscheduled downtime. Entegris, Chaska, MN; ph 952/.556-8079, [email protected], www..entegris.com.

300mm wafer defect detector

The LDS3300/LDS3300M system is for 300mm automated defect detection, classification, and inline review. This system maintains the advantages of the field-proven INS300 inspection and review system and combines it with new intelligence that can inspect the entire surface of a 300mm wafer for macroscopic defects, using simultaneous bright- and darkfield illumination. The system can help increase overall fab yield and reduce COO. Leica Microsystems Inc., Chantilly, VA; ph 703/229-4503, [email protected], www..leicamicrosystems.com.

Remote-access automation monitor

This automation monitor is a diagnostic system for remote analysis and trouble shooting of semiconductor capital equipment. The .monitor can deliver synchronous streams from strategically located video cameras, sound devices, desktop information systems, and data sources on the equipment. Information can be accessed locally or remotely over the Internet. Field support teams can use the monitor to diagnose problems quickly, and subject matter experts in various regions of the world can collaborate with field service personnel. Objective Solutions Inc., Richardson, TX; ph 972/480-8999, www.objectsoln.com/sections/products/.automon.