Issue



Innovative products for wafer processing focus on smaller features, fewer defects, and COO


07/01/2003







Visitors to the wafer-processing segment of Semicon West in San Francisco's Moscone Center will see a wide array of innovative products, allowing smaller features to be made with higher throughput and fewer defects. Because of shrinking feature sizes, there will also be a wide array of new metrology tools to probe and map films and bare wafers, sometimes in 3-D, and often with shorter wavelengths, down into the e-beam and even x-ray spectrum. Higher throughput and lower cost of ownership (COO) will be major themes in new products being introduced throughout the 2003 exhibition areas. No matter what your fab needs might be, you will find several new offerings at this show that should help meet them. Many new systems will be introduced for high-resolution defect inspection and metrology applications. Here is just a sampling of what will be featured on the show floor:

New at this show is Negevtech, from Israel, with its wafer inspection tool for 90nm and below with a new imaging technology and higher throughput than previous systems. E-beam inspection will be provided by the new eS30 system from KLA-Tencor. Automated wafer inspection tools for defect detection, review, and classification for defects of ≥0.5µm will be offered by August Technology. Leica Microsystems will be offering a suite of software tools for more efficient defect analysis. EDAX will be showing x-ray detectors. Jordan Valley Semiconductors will also be featuring an x-ray metrology system.

All types of films can be characterized at high resolution using an infrared spectroscopic ellipsometer, the IRSE-300 from SOPRA. Metal films can be probed using SurfaceWave technology using the 3300 system from Philips AMS. An aid to low-k integration at the 65 and 45nm nodes is the MetaPULSE-II system being shown by Rudolph Technologies. Veeco will be exhibiting a new atomic force profiler and an automated AFM. Wafer flatness is critical for 300mm wafers, and ADE will be showing its WaferSight optical flatness wafer-mapping tool aimed at requirements down to 35nm.

For lithographers, Canon will be showing a new ArF scanner with 0.85 NA that is said to minimize aberrations while printing features down to 85nm. Mask defects can be probed with n&k Technology's new 3700RT photomask metrology system. Products for reticle as well as wafer handling will be introduced by Entegris. Rigaku/MSC will be showing a metrology tool specifically for EUV photomasks. Ultratech will be introducing its laser thermal processing systems.

There will be a wide range of wafer- and reticle-cleaning systems, including Nano-Master's single wafer/mask cleaner. With a much greater need for prototyping new designs, Unaxis will be showing its Shuttleline production platform that performs several process steps for R&D and prototyping.

For wafer handling, Newport will be introducing its automatic door opener (ADO) 300mm load port front-opening interface for FOUPs, which the company says will eliminate the need for vacuum cups and their associated maintenance requirements. Dow Chemical will be introducing its new SiLK D low-k dielectric material developed in collaboration with IBM. Applied Materials will introduce two new dielectric etch products at the show. The Producer Etch system combines productivity advantages offered by dual-wafer handling with the process control benefits of single-wafer systems. And Applied's Dielectric Etch Enabler Centura directly targets processing challenges that arise from the growing variety of integration schemes and the increasing use of low-k films as device geometries continue to shrink.

If you check through the new offerings at the show covered in WestWorld, you'll find new furnaces, leak detectors, ultrathick resists (Clariant), robotics, vision systems, and a host of other innovative products.

And don't forget to vote for your favorites for the Attendee's Choice Awards using the ballot in this issue; refer to the "How to Vote" instructions (see above). Let the vendors know you appreciate the hard work they've done coming up with new technology aimed at solving your processing problems and meeting the needs of your fab.

PRODUCTS

Ball valve for high vacuums

This ball valve is designed specifically for high-vacuum service. The valve body is machined out of 316 stainless steel, aluminum, or brass. Two Viton body seals and a four-part Viton stem sealing system retain vacuum integrity while cycling. These valves offer straight-through flow, providing interchangeable end connections, and unobstructed ports. A&N Corp., Williston, FL; ph 352/528-4100, [email protected], www.ancorp.com.

Full height heating system

UniFlow is a full height heating system designed to prevent condensation in cylinders of nonliquefied compressed gases. It has an integrated heater panel and a weatherproof outer covering. The design uses adjustable straps and closers to ensure a tight fit on the cylinder while still providing the flexibility to adjust to different cylinder sizes. Accurate Gas Control Systems Inc., Soquel, CA; ph 831/462-0500, [email protected].

Wafer mapping tool

The WaferSight optical flatness metrology tool provides high throughput wafer mapping of shape, flatness, and thickness variations. High-grade optics along with precision engineering enable both surfaces of a 300mm wafer to be mapped during a single acquisition, ensuring precise measurements at high throughput. Built for in-line metrology during wafer production, WaferSight models are available for both 300mm and advanced 200mm wafers. ADE, Westwood, MA; ph 781/467-3500, www.ade.com.

Remote plasma source

The Xstream remote plasma source platform integrates a 6 or 8 kW remote plasma source and a highly efficient power supply with an active matching network to optimize CVD chamber clean as well as reactively enhanced deposition and etch processes. The Xstream accommodates a wide range of process chemistries, including H, AR, N2, O2, NF3, CF4, C3F8, C4F8, C4F8O, without reengineering or even modifying software or hardware. Advanced Energy Industries Inc., Fort Collins, CO; ph 800/446-9167, www.advanced-energy.com.

32-axis motion, vision, I/O system

The Automation 3200 is a software-only 32-axis motion, vision, and I/O system. It uses a distributed control architecture to maintain performance independent of the number of axes being controlled. The software features a multitasking motion environment, robotic transformations, Active-X toolbox, plug-and-play FireWire network, geometric pattern recognition, and Ethernet I/O expansion. Aerotech Inc., Pittsburgh, PA; ph 412/963-7470, [email protected].

Gas delivery systems

This new line of gas delivery systems lowers equipment, material, and operational costs for ultrahigh-purity electronics applications. The Gasguard high flow system delivers bulk high-purity hazardous and inert gases with flexibility, safety, and performance, and at low cost. Air Products, Allentown, PA; ph 610/481-2938, [email protected].

Moisture analyzers

The models 3050-AM, 5830, and 5920 moisture analyzers provide multi-gas capability, high accuracy, fast response, and online verification. Each analyzer features a quartz-crystal moisture microbalance sensor and sampling system, a display that offers quick access to all operating variables, and software-driven gas selection that eliminates all manual adjustments. Ametek Process Instruments, Newark, DE; ph 302/456-4451, www.ametekpi.com.

Dielectric etch system

Click here to enlarge image

The dielectric etch Enabler Centura offers powerful versatility and process capability for ..advanced dual damascene etch. The Enabler offers high on-wafer etch rate and CD uniformities, and high-volume repeatability on various organic, inorganic, porous, and spin-on films under consideration for the 65nm and 45nm nodes in any integration sequence. Applied Materials, Inc., Santa Clara, CA; ph 408/986-7877, www.appliedmaterials.com.

Specialty valves

Dymatrix specialty valves and control products provide high-performance solutions for CMP processes and ultrapure water and chemical applications. Products include high-purity pinch, multiport, and surface mount valves, pressure regulators, and flow controllers. Dymatrix PV series pinch valves provide an extended life of >2 million cycles, eliminating stress and particle cohesion. Asahi/.America Inc., Malden, MA; ph 877/24-ASAHI or 781/321-5409, [email protected], www.asahi-america.com.

Equipment information bridge

The GW equipment information bridge (GWEIB) gives fabs up-to-date, real-time data by implementing equipment models that allow concurrent access to equipment information by fab applications. GWEIB is flexible and adapts to existing automation solutions, both front- and backend, including assembly and test. The bridge integrates with traditional station controllers, and non-SECS equipment. Asyst Technologies Inc., Fremont, CA; ph 510/661-5442, [email protected], www.asyst.com.

Wafer inspection systems

The AXi series of automated wafer inspection systems are designed for applications in front-end wafer fabs. These systems provide affordable macro defect detection, review, and classification, and can detect defects 0.5µm and larger. The AXi series combines high resolution and high throughput; the system can inspect 100mm–300mm wafers, at a throughput of up to 120 200mm wafers/hour. August Technology Corp. Bloomington, MN; ph 952/259-1647, [email protected].

Spectroscopic ellipsometer

The Senduro spectroscopic ellipsometer has a compact, desktop instrument that quickly and accurately measures thin film thickness and refractive index. An application library covers many thin film applications, including dielectrics, semiconductors, organics, and coatings on glass. The Senduro can measure on reflective and transparent wafers or pieces with a substrate height up to 8mm and a diameter up to 200mm. AXIC Inc., Santa Clara, CA; ph 408/980-0240, [email protected], www.axic.com.

On-site fluorine generator

The Generation-F 400 is a larger-capacity addition to the manufacturer's Generation-F family of on-site fluorine generators for CVD chamber cleaning. The system supports fluorine plasma cleaning of semiconductor and LCD tool platforms. On-demand electrolytic fluorine production is integrated with purification, compression, and storage in an easy-to-install ventilated enclosure. BOC Edwards, Wilmington, MA; ph 800/848-9800, [email protected].

Integrated platform for etch

The Zenith Etch integrated vacuum pump and abatement platform is designed specifically for etch applications. The Zenith Etch pump offers exhaust management that both assists the industry in achieving WSC targets for PFC emissions, and is closely aligned to the energy reduction philosophy of the ITRS Technology Roadmap. BOC Edwards, Wilmington, MA; ph 800/848-9800, [email protected], www.bocedwards.com.

Picking device

Click here to enlarge image

The noncontact transfer (NCT) is a picking device that operates by the Bernoulli principle, which applies airflow under the device ..to create a vacuum and lift force between the center and the circumference. The dynamic vacuum and continuous flow keep the lifted object from attaching to the NCT surface, thus enabling delicate items to be handled. Bosch Rexroth Corp. Pneumatics, Lexington, KY; ph 859/281-3491, www.boschrexroth-us.com.

Robot processing system

The Talon MEMS-configurable, multistation coat/develop, multiaxis robot processing system is customizable with up to five processing stations and up to 200mm substrates. It has an ETFE polymer-coated spin bowl with 0–6000rpm spin range and repeatability of ±5rpm with 1.0 resolution. Brewer Science, Rolla, MO; ph 573/364-0300, [email protected].

Silicon rings and electrodes

Dislocation-free silicon rings and electrodes are made with wafer-quality silicon using the manufacturer's crystal growers. Parts are inspected, cleaned, and packaged in a Class 100 cleanroom. Bullen Ultrasonics Inc., Eaton, OH; ph 937/456-7556, [email protected], www.bullen-ultrasonics.com.

Dry pump

The BA100 cooled dry pump offers 77 ft3/hr and uses dry screw technology in a compact package. The pump is well suited for etch, CVD, epitaxy, PVD, and implant applications, as well as load lock, transfer, and pre-clean chambers. Busch Semiconductor Vacuum Group, Morgan Hill, PA; ph 408/782-0800, www.buschsvg.com.

ArF scanner projects 80nm features

The FPA-6000AS4 ArF scanner boasts a numerical aperture (NA) of 0.85 and an ultralow-aberration lens system. Used in conjunction with a dual-chamber 193nm light source, the scanner can project features as small as 80nm. Synchronized wafer scan speed is 500mm/sec. The scanner offers 80nm resolutions and has a 4:1 reduction lens system that incorporates CaF2 materials along with a proprietary wave-front engineering process to achieve ultralow aberrations. Canon, San Jose, CA; ph 408/468-2265, [email protected].

Fluid delivery module

This next-generation fluid delivery module's embedded PTI, STEP, and MultiFlo technologies provide increased flow stability, faster response to control signals, reduced footprint, reduced inventory requirements, and the ability to configure in situ 90% of all gases and gas ranges used in a typical fab. Celerity, Milpitas, CA; ph 408/946-3100, [email protected].

Vacuum deposition system

The Solution PVD is a small-scale vacuum deposition system that features manual or PLC control, plenty of space for accommodating a large-volume electron beam gun or resistance source, and a choice of pumping packages (diffusion, cryogenic, or turbo molecular pumps.) CHA Industries, Fremont, CA; ph 510/683-8554, [email protected].

Noncontact temperature monitor

The NTM450 is a high-accuracy and repeatability pyrometer designed to operate as a stand-alone unit or in conjunction with the NTM500 emissivity-independent pyrometer. Based on the NTM500's proven technology, it offers a low-cost solution for in situ noncontact temperature monitoring in processes including PVD, HDP-CVD, and RTP. CI Semi, San Jose, CA; ph 408/559-3047, [email protected], www.ci-semi.com.

300mm connectivity software

CIM300Expert connectivity software allows easy conversion of equipment software, with or without a GEM interface, to full 300mm compliance in six-to-eight weeks. CIM300Expert works in conjunction with the current CIM300 software but adds software modules to handle the 200mm and 300mm graphical user interface, and equipment frontend module (EFEM) logic and interfacing. Cimetrix, Salt Lake City, UT; ph 801/256-6500, [email protected].

Ultrathick photoresists

AZ 50XT is a positive-tone photoresist tailored specifically for the ultrathick resist coatings needed in bumping applications. It provides single-coat capabilities to 65µm; it gives 3-to-1 aspect ratios with good sidewall profiles and wide process latitude. AZ 50nXT and 100nXT are negative-tone photoresists that provide high resolution in ultrathick bumping applications. Clariant Corp., Somerville, NJ; ph 407/359-1174, [email protected].

Digital CMOS machine vision camera

The CDC-200 extends the range of vision applications with faster frame rates and global shuttering capabilities. It brings high-resolution image acquisition to high-speed, moving applications, without sacrificing image quality or performance. The CDC-200 can deliver high-resolution SXGA images (1280 ¥ 1024) at up to 26 frames/sec, a standard VGA image (640 ¥ 480) at up to 100 frames/sec, or a 320 ¥ 240 image at up to 340 frames/sec. Cognex Corp., Natick, MA; ph 508/650-3000, [email protected].

RF generator

Click here to enlarge image

The CB 300 low power/high frequency RF generator has a small size (a 4¥5¥12-in. chassis) and low weight (15 lb), but offers rugged and stable design. DC power input is used, along with the same solid-state devices and RF ..amplifier as the preceding CX series of products. The CB 300 is for plasma, flat panel, IC, and storage media applications. Power output is 300W. Comdel Inc., Gloucester, MA; ph 978-282-0620, www.comdel.com.

Steel exhaust duct

Pure Guard SS is a fluoropolymer-lined, welded stainless steel exhaust duct that has been tested and approved by Factory Mutual for corrosive fume and smoke exhaust without sprinklers. It is also approved by Factory Mutual for installation in cleanrooms. Composites USA Inc., North East, MD; ph 410/287-2700, www.compositesusa.com.

Low-k dielectric resin

SiLK D semiconductor dielectric resin improves upon the performance of SiLK I resin products by maintaining a dielectric constant of 2.6, but offering a more controlled coefficient of thermal expansion and improving device yields. SiLK D formulations are available in 200 and 300mm versions, covering thickness ranges of 60–700nm. The Dow Chemical Co., Midland, MI; ph 989/636-9717, [email protected].

PCMP cleaning solution

PCMP5510 is a PCMP cleaning solution for use following copper CMP. The aqueous solution removes residual metals and particulates and contains no fluorides. It is environmentally safe and aqueous drain-compatible, and it is also fully compatible with double-side scrubbers and megasonic cleaning equipment. DuPont, Wilmington, DE; ph 510/784-7514, [email protected], www.dupont.com.

Power supply

The MicroStar DP/DPR series power supply produces forward-only and/or forward-and-reverse "square" wave pulses. The unit is dial-less in control and is air-cooled, with a small, lightweight footprint. Dynatronix, Amery, WI; ph 715/268-8118, [email protected].

Chemical-monitoring system

The QLC-7600 chemical-monitoring system can analyze copper, tin, and tin/lead solutions used in the flip chip process, as well as electroless copper, cobalt, or nickel solutions used for interconnect applications in chips and packaging. ECI Technology Inc., East Rutherford, NJ; ph 973/773-8686, [email protected].

X-ray detectors

Additions to the Genesis XMS X-ray detector systems include CryoSpec, a reliable LN free Si(Li) EDS detector for the electron microscope; MegaSpec, a silicon-drift EDS detector that incorporates the manufacturer's latest digital pulse processing electronics and is capable of short shaping times and input count rates in excess of 500,000 counts/sec; and LambdaSpec, a WDS spectrometer. EDAX Inc., Mahwah, NJ; ph 201/529-6184, www.edax.com.

Wafer and reticle handlers

This new wafer and reticle carrier (WRC) product line includes several silicon wafer and lithography reticle carriers, including 300mm FOUPs, 200mm standard mechanical interface (SMIF) pods, 150mm SMIF pods, 150mm single- and multiple-reticle SMIF pods, and 200mm single-reticle SMIF pods. Entegris, Chaska, MN; ph 952/556-8079, www.entegris.com.

Laptop arm

The HD laptop arm is fully adjustable, offers a secure docking station for a laptop computer, and attaches to any vertical or horizontal surface. The HD has a 15-in. height range, swings 180°, and can be attached to an extension for additional reach. Ergotron Inc., St. Paul, MN; ph 800/888-8458, [email protected], www.ergotron.com.

Temporary bonding and debonding

The EVG850 temporary bonding and debonding systems offer advanced capabilities for reliable backside processing of thin and fragile wafers. Fully automated cassette-to-cassette handling systems with special end-effectors ensure a safe handling of ultrathin and fragile wafers and increase yield. Advanced features such as backside, edge, and perforated wafer handling meet the requirements of any substrate type. EV Group, Scharding, Austria; ph 43/7712-5311-0, [email protected], www.EVGroup.com.

FIB system

The VectraVision advanced focused ion beam (FIB) system is designed for circuit edit applications for the 90nm process node. The VecraVision enables chipmakers to rapidly modify circuit functionality and verify new circuit designs. The VectraVision allows backside editing of flip-chip packaged parts, and also offers easy access to features of interest that can be buried under up to nine layers of front-side metal. FEI Co., Hillsboro, OR; ph 503/640-7500, [email protected].

Equipment management services

These services allow customers to execute equipment management programs that provide strategic flexibility, increase profits, and reduce technology cost and risk. Services include acquisition (new/used equipment financing and sale/leaseback of existing equipment); optimization (equipment optimization and management, evaluations, and recommendations); and disposition (equipment remarketing). GE Global Electronics Solutions, San Diego, CA; ph 858/554-0246, www.GEelectronicsweb.com.

ALD systems

The next-generation StrataGem200 and StrataGem300 atomic layer deposition (ALD) systems offer optional in situ chamber clean as a new feature. This feature is designed to increase chamber uptime and decrease the time and frequency of chamber wet cleaning. In the StrataGem300, a new integrated gas system gas box is available to reduce the size and complexity of the gas delivery system and improve maintainability. Genus Inc., Sunnyvale, CA; ph 408/747-7140 ext 1144, [email protected], www.genus.com.

Robotic arm calibrator

The Wafercam system performs robotic arm calibration in high-vacuum wafer-processing systems while processing chambers remain under vacuum-reducing downtime up to 90%, lowering COO, and allowing robotic arm repair and startup in the transfer chamber. Greene Tweed, Kulpsville, PA; ph 800/716-5316, [email protected].

Surface analyzer

The Reflex 300TT laser surface analyzer measures particles on 200 and 300mm unpatterned wafers with 150nm sensitivity. This is a stand-alone, tabletop system that employs an advanced diode laser illumination and a patented optical collection. It features axisymmetric optics, enabling a compact design. During measurements, the optical sensor head is scanned over the rotating wafer, spirally sampling the entire surface. Hologenix Inc., Huntington Beach, CA; ph 714/903-5999, [email protected], www.hologenix.com.

MEMS wafer and substrate handling

Handling tools for MEMS wafers and substrates include mechanical wafer edge pick devices, edge-grip vacuum tips, small metal process cassettes, miniature flat finders, mass transfer machines, and inspection stations. These tools overcome the handling problems of standard vacuum wands, which often cannot be used for MEMS wafers due to the wafers' small size and presence of holes and/or devices on both sides. H-Square Corp., Sunnyvale, CA; ph 408/734-2543, www.h-square.com.

High-precision microtester

Model 5848 MicroTester is designed to test microelectronic devices, MEMS, photonic, and other small components, providing precise load and displacement measurement capability combined with good cyclic performance. Applications include die shear and pull tests, tensile testing of fine wires and fibers, flex testing of circuit boards or substrates, and peel tests of thin films and substrates. Instron, Canton, MA; ph 800/564-8378 ext 5390, [email protected].

Pre-wet wipers

Click here to enlarge image

TX8410 AlphaSat wipers are pre-wet with 100% semiconductor-grade isopropyl alcohol. The wipers are used to clean surfaces that are sensitive to water contamination, such as vacuum process chambers of sputtering, etching, and CVD equipment. AlphaSat wipers are packaged ..in a red, easy-to-use flatpack package, which helps minimize contamination levels and the need to use solvent squirt bottles. ITW Texwipe, Upper Saddle River, NJ; ph 201/327-9100, [email protected], www.texwipe.com.

Electron microscope

A product of an alliance between JEOL and Seiko, the JEM-2500SE is an ultrahigh-resolution scanning transmission electron microscope (STEM) that offers a combined solution for high-throughput cross-sectional sample preparation and imaging analysis of devices with sub-90nm feature sizes. The microscope is simpler to use than an in-lens scanning electron microscope (SEM), yet produces images with as much as 5¥ the resolution of SEM tools. JEOL USA Inc., Peabody, MA; ph 978/535-5900, www.jeol.com.

Furnace system

The SuperTMX furnace system replaces Thermco's TMX 9000, 10,000, and 12,000 series MUX controllers, as well as UNIX-basedsystems for Thermco furnaces. The Super TMX software provides complete recipe and configuration editing, process status, and alarm and trace data viewing. A Windows platform allows easy networking, and optional Web and wireless communication capabilities provides Internet/Intranet-based remote access. Jon Goldman Associates, Orange, CA; ph 714/283-5889, www.jga-inc.com.

X-ray metrology tool

The JVX 5200 X-ray metrology tool non-destructively measures film thickness, density, roughness, and elemental composition. Single films or complex stacks of all types can be measured with angstrom-level resolution and precision. Pattern recognition and a submicron XRF spot enable product wafer measurements, while XRR measurements can be made in areas as small as 100 ¥ 500 micron. Jordan Valley Semiconductors, Inc., Austin, TX; ph 512/832-8470, [email protected].

Cleanroom wiping cloth

Savina Minimax is a cleanroom wiping cloth made of an ultrafine micro fiber, Belima X, whose filament is 0.1 denier or 1–5 micron. Some advantages that Savina Minimax provides to customers are low generation of lint, fast and positive absorption and retention of water, low dissolution of residual ions, and high wiper performance. Kanebo Gohsen Ltd., Tokyo, Japan; ph 81/(0)3-5446-3509, [email protected].

e-beam inspection system

The eS30e-beam inspection system provides the throughput and production worthiness required for electrical line monitoring. The eS30 combines speed, sensitivity, and ease-of-use into a single platform for all phases of the IC technology lifecycle, enabling chipmakers to reap gains in yield and fab ROI. Significant reductions in tool overhead, including wafer loading and set-up time, coupled with faster scanning speed and ease-of-use enhancements, effectively triple the throughput of the eS30 compared to the manufacturer's eS20XP system. KLA-Tencor, San Jose, CA; ph 408/875-0024, [email protected], www.kla-tencor.com.

Laser source

The NovaLine A4003 laser source offers an ultra-narrow bandwidth of 0.3pm, which is suited to fully utilize the low-aberration imaging performance of the latest ultrahigh NA lens designs. The overall spectral purity, defined as the total spectral range that contains 95% of the emitted laser power, has been measured to be <0.75pm. Illumination power is 20W at 4kHz pulse frequency, which satisfies the wafer throughput of today's step-and-scan tools. Lambda Physik USA Inc., Fort Lauderdale, FL; ph 954/486-1500, [email protected].

Wafer spin processing equipment

The WS-600 series of single wafer spin processing equipment features digital inputs, allowing conditions such as etch endpoint and rinse to resistivity to be detected within the process and action taken as needed. Many process and safety interlocks can be sensed and controlled. A new digital motor drive allows forward, reverse, and agitative rotation. Laurell Technologies Corp., North Wales, PA; ph 215/699-7278, [email protected].

300mm wafer defect detector

The LDS3300/LDS3300M system is for 300mm automated defect detection, classification, and inline review. This system maintains the advantages of the field-proven INS300 inspection and review system and combines it with new intelligence that can inspect the entire surface of a 300mm wafer for macroscopic defects, using simultaneous bright- and dark-field illumination. Leica Microsystems Inc., Chantilly, VA; ph 703/229-4503, [email protected].

Workstation for 3-D failure analysis

The 1540XB CrossBeam workstation is for 3-D failure analysis with no compromising on imaging capabilities. The CrossBeam combines ultra-high resolution Gemini field emission column with the high-performance Canion FIB column. The live SEM imaging ability during FIB operation mode gives full control when examining critical samples or producing TEM lamellas. LEO Electron Microscopy Group, Thornwood, NY; ph 001/91-474777000, [email protected].

Robot handles 300mm wafers

This 300mm wafer-handling robot allows easy upgrade of 200mm or non-automated systems with minimal investment. An over-under transfer scheme permits wafer handling within a small envelope and minimizes wafer exchange time. The robot's low height and surface mount enable system designers to use more space around the robot for integration of electronics, instrumentation, and other automation. Ludl Electronic Products Ltd., Hawthorne, NY; ph 888/769-6111, [email protected], www.ludl.com.

Bulk hydrogen purifiers

PerfectH2 bulk hydrogen purifiers consist of 2–36 Palladium cells, mounted individually or in four-cell modules. Purifiers are available for flow rates up to 12,000 slpm. A modular design allows individual cells to be operated or serviced without system downtime. A central monitoring and control station displays current status of each cell or module, and the use of stand-alone purifiers on tools results in higher CO2, since spare identically sized Pd cells need to be stocked for each tool. Matheson Tri-Gas, Ramsey, NJ; ph 201/825-1331, [email protected], www.matheson-trigas.com.

Wafer and photomask CD measurement

The MicroLine 300 system provides manual measurement of CDs for semiconductor wafers and photomasks. The system detects features from 0.5-40µm in size using a 100¥ objective lens, and up to 800µm at 5¥. Measurement repeatability is 2nm (1s) on photomasks and 4nm (1s) on wafers, using 100¥. The system consists of a high-quality microscope, computer, and imaging components working in conjunction with the manufacturer's software for image analysis, autofocus, and auto-illumination. Micro-Metric Inc., San Jose, CA; ph 408/452-8505, [email protected].

Combination pressure/vacuum transducer

The HPS Series 910 DualTrans is a combination pressure/vacuum transducer. The CE marked Series 10 is a combination Piezo and Pirani sensor with integrated electronics, digital communications, analog output, and three setpoints as standard features for process control. The transducer measurement range is from 10-5 to 1500torr. The compact combination transducer is based on a direct-reading absolute Piezo sensor and the MicroPirani sensor. The Piezo sensor includes a temperature compensation feature, allowing for high accuracy over a wide measurement range. MKS Instruments, Boulder, CO; ph 303/449-9861, www.hpsproducts.com.

Semiconductor ceramics and coatings

These controlled-resistivity ceramics are suitable for mechanical, chemical, or vacuum applications that require controlled electrical conduction or static charge dissipation. They feature metallized, brazed, or bonded assemblies and are manufactured in a range of insulating and controlled resistivities. Everslik 1201 is an epoxy-based coating suitable for all metal hardware on CMP, etching, and wafer-handling equipment, and any other application requiring chemical, chip, and abrasion resistance. Morgan Advanced Ceramics, Peachtree City, GA; ph 800/433-0638, [email protected].

Furnace systems

High and low-temperature thermal processing furnace systems for small-batch, semiconductor process requirements feature a small footprint like a tabletop or bench model, yet provide many high production features. The furnace systems have a flexible design that features a patented heating technology for temperature uniformity with reduced power and gas consumption. Both the 100mm and 150mm substrate models are stackable up to two tubes, while the 200mm and 300mm substrate models come in single-tube standalone units. MRL Industries, Sonora, CA; ph 209/536-6128, www.mrlind.com.

Flow controller for user flexibility

The IntelliFlow II advanced digital mass flow controller provides flexibility with user-configurable gases and ranges. Users can program generic MFCs to virtually any gas and range, within select boundaries and with each configuration meeting high performance standards and specifications. Mykrolis Corp., Billerica, MA; ph 978/436-6500, www.mykrolis.com.

Wafer/mask cleaner

Single Wafer/Mask cleaner is a flexible, megasonic, single-wafer mask cleaner with chemical cleaning and spin-drying capabilities. It provides reproducibility, uniformity, and state-of-the-art cleaning — without scrubbing or high-pressure cleans — for wafers, masks, pellicalized masks, wafer frames, and other substrates. It accommodates wafer sizes up to 12 in. and mask sizes up to 6 in. ¥ 6 in. Nano-Master Inc., Austin, TX; ph 512/385-4552, [email protected].

Wafer inspection tool

The Negevtech 302 is a wafer inspection tool designed for entry at the 90nm level with extension to the 65nm level and beyond. The tool is a high-resolution brightfield imaging system that provides high sensitivity and throughput, as well as significant improvement in cost of ownership. The core technology of the 302 is Step&Image, a 2D imaging concept that is vastly different than the current linear CCD brightfield inspection technology. Negevtech, Santa Clara, CA; ph 408/486-9831, [email protected].

ADO FOUP

The ADO (automatic door opener) 300mm load port front opening interface mechanical standard product features a patented latch key design. This FOUP (front opening unified pod) load port latch key ADO opening mechanism eliminates the need for vacuum cups, ensuring reliable and repeatable pod door opening, minimizing errors and particulate contamination, and providing high throughput under Class 1 cleanroom conditions. Newport Corp., Irvine, CA; ph 949/863-3144; [email protected], www.newport.com.

Wafer electro-deposition system

Click here to enlarge image

The Stratus vertical wafer electro-deposition system integrates the wafer quality and process ..advantages of vertical orientation with the economic advantages of parallel processing. Stratus is designed for long deposition time processes in applications such as gold and solder wafer bumping, redistribution layers, and various MEMS layers. The Stratus wafer holder ensures precise alignment between the wafer and critical process elements, such as the agitation paddle and plating electrodes, enabling small process cell size and more floor-space-efficient configurations. NEXX Systems Inc., Wilmington, MA; ph 978/284-4902, www.nexxsystems.com.

Photomask analyzer

The high-throughput 3700RT analyzer address mask makers' need for ultra-high resolution metrology for advanced photomasks. Both reflectance and transmittance are measured simultaneously by this dual spectrophotometer-based system. Measurements of thin films, phase shift resulting from phase-shift masks, critical dimensions, trench depth, and etch characteristics (over, under, or target etch) can be quickly and accurately measured and mapped. n&k Technology, Inc., Santa Clara, CA; ph 408/982-0840, [email protected].

Liquid flow controller

Constructed of PTFE, PFA, and CTFE wetted parts, the liquid flow controller was designed specifically for high-purity, corrosive chemicals and CMP slurries. It performs automated, closed-loop control using direct flow and pressure measurement, in bath filling, batch control, blending and chemical spiking, and dispense for etch rate control. Reliable flow measurement and automated control enable users to optimize their bath chemistry, process consistency, and dispense uniformity. NT International (Entegris), Minneapolis, MN; ph 763/502-0200, [email protected].

Automation monitor

This automation monitor is a diagnostic system for remote analysis and trouble shooting of semiconductor capital equipment. Themonitor can deliver synchronous streams from strategically located video cameras, sound devices, desktop information systems, and data sources on the equipment. Information can be accessed locally or remotely over the Internet. Objective Solutions Inc., Richardson, TX; ph 972/480-8999, www.objectsoln.com/sections/products/.automon.

Heater/chiller

The Cheater provides heating or cooling automatically as needed to maintain desired process temperatures. Available for applications in capacities from 0.6–10kW, the unit's patented refrigeration circuit allows for active heating and cooling from 0–190°F and zero to full load with ±0.18°F stability. Opti Temp Inc., Traverse City, MI; ph 231/946-2931, [email protected], www.optitemp.com.

Microscope camera

The MacroFire is a mega-pixel digital microscope camera designed to digitally capture the full 22mm field-of-view from a variety of microscopic imaging applications. MacroFire incorporates a four-megapixel CCD that measures 21.4mm in diagonal with 2048 ¥ 2048 pixels that are 7.4µm square, the optimal size for photomicrography applications. The MacroFire incorporates its own applications for camera control that runs within the included PictureFrame imaging application suite. PictureFrame will paint a full-resolution color image on any large format monitor at live imaging rates. Optronics, Goleta, CA; ph 866/478-4336, [email protected].

Undiluted slurry monitor

The SlurryAlert BTA monitor provides continuous online measurement of undiluted slurry used in copper CMP. The monitoring system rapidly detects changes in particle size distribution and number of large particles, as well as shift in percent solids. SlurryAlert provides continuous one-minute measurements of BTA (benzotriazole), an effective copper corrosion inhibitor. The system requires nearly no operator involvement, and provides effective copper CMP process control, which requires measurement of BTA in incoming drums as well as on-line monitoring of the chemical delivery system. Particle Measuring Systems, Boulder, CO; ph 303/443-7100, [email protected].

Dry pump

The XtraDry positive displacement dry pump features a simple piston style design that does not expose the bearings to high vacuum conditions, resulting in long service life. XtraDry provides a hydrocarbon-free vacuum and does not generate particles that can contaminate vacuum processes. The pump is well suited for analytical instruments, including mass spectrometers, leak detectors, electron microscopes, and various semiconductor equipment. Pfeiffer Vacuum, Nashua, NH; ph 603/578-6500, [email protected].

Metrology tool for copper/low-k processes

The AMS series 3300 is a third-generation metal measurement tool that helps automate 300mm processes. The 3300 uses SurfaceWave technology to deliver high-throughput, noncontact, nondestructive measurements of the thickness and uniformity of metal line arrays and pads for all copper/low-k processes. This third-generation tool has been optically enhanced to produce a smaller spot size and much smaller measurement penetration depth to enhance accuracy. Philips AMS, Natick, MA; ph 508/647-1120, www.ams.philips.com.

Graphite material

POCO ZEE is engineered for the production of superior beam line components, meeting the needs of next-generation ion implant equipment. Its physical properties and characteristics make it suitable for solving beam stability and component erosion issues. ZEE has a very fine, uniform microstructure with an average grain size of 1µm. This tightly packed structure produces a very hard graphite material that has good erosion-resistance, even in areas that receive high ion bombardment. Poco Graphite Inc., Decatur, TX; ph 940/393-4322, [email protected].

Nanopositioning stages

Click here to enlarge image

HERA Piezo nanopositioning and scanning stages provide closed loop travel to 500µm, which is claimed to be more than any comparable system on the market. Capacitive direct ..metrology guarantees high performance. Features include subnanometer resolution, millisecond response, and advanced digital control for improved scan linearity. PolyTec PI, Tustin, CA; ph 714/850-1835, [email protected], www.polytecpi.com.

Low-pressure monitor

The Echoline low-pressure monitor has been UL 991 tested. The monitor provides remote or point-of-use low pressure monitoring of gas cabinet exhaust systems during semiconductor manufacturing processes, alerts plant engineers of problems, and provides automatic adjustment of high and low trip points before and after system maintenance. UL 991 recognition applies to solid-state control devices intended for safety-related functions. Echoline also conforms to S2-0200 and CN EN61326 EMI requirements. Precision Sensors, Milford, CT; ph 203/877-2795, www.precisionsensors.com.

Laser autofocus

The LF100 laser autofocus is suited for semiconductors and reflective specimens. The compact module adjusts to different microscopes and optical systems. A new optical design eliminates the need to manually adjust the focus trim and loop gain; the microprocessor does this task, resulting in improved throughput. The LF100 maintains the capability to be manually focused with the use of a digipot. Prior Scientific, Inc., Rockland, MA; ph 781/878-8442, [email protected], www.prior.com.

Metrology tool for EUV photomasks

The GXR-EUV is an X-ray based metrology tool for characterization of multilayer mirror photomasks and blanks as used in EUV photolithography. The GXR-EUV measures such critical properties as the period of the multilayer (the thickness of Mo/Si or Mo/Be bilayers), densities, surface roughness, and interfacial roughness. The thickness and properties of the absorber and buffer layers at the top of the EUV multilayer structure can also be characterized. Rigaku/MSC Inc., Semiconductor Div., Austin, TX; ph 512/249-0010, www.rigakumsc.com.

Digital temperature controller

The HA series 1/4 and 1/8 DIN-sized, high-speed digital temperature controller has embedded DeviceNet or Profibus protocol. A sampling rate of 0.025 sec suppresses overshoot and is suitable for RTP, wire bonding, lamp annealing, flip chip bonding, and mass flow. Single-loop, dual-loop, and cascade control are available. The multimemory area stores up to 16 sets of parameters, allowing the unit to serve as a 32-segment ramp/soak controller. RKC Instrument, South Bend, IN; ph 574/273-6099, [email protected].

Metrology tools for 65 and 45nm nodes

These stand-alone and integrated 200mm and 300mm metrology tools for 65nm and 45nm nodes have the repeatability and matching needed to enable reliable process control. The advanced capabilities of the ultra-II tools on CVD etch/polish stops and hard masks will enable low-k integration. MetaPULSE-II provides fab-proven second-generation pulse technology for all stages of copper integration from ultrathin barrier deposition to post-CMP residual barrier, dishing, and erosion process control. Rudolph Technologies, Inc., Flanders, NJ; ph 973/448-4316, [email protected], www.rudolphtech.com.

Gas purifiers

MegaTorr gas purifiers have been specifically designed for the purification of O2, H2, N2, and rare gases for flow rates between 1 and 6Nm3/hr. These new, small purifiers are sized to remove all common impurities to sub-ppb levels. With automatic regeneration, the purifiers are self-contained, saving the need to change-out the cartridge or return it to the manufacturer for regeneration. SAES Pure Gas Inc., San Luis Obispo, CA; ph 805/541-9299, [email protected], www.puregastechnologies.com.

Statistical analysis software

JMP software gives users the tools needed to understand data visually by linking statistics with graphics. This link helps to interactively explore, understand, and visualize data. A user can continuously explore data from all angles, helping to detect both patterns as well as points that don't fit patterns. JMP software is intuitive and easy to use, and is designed for applications where users need to discover relationships and outliers in data. SAS Institute, Cary, NC; ph 919/531-0839, www..jmp.com.

Thin-film metrology system

The FilmTek 4000EM-DUV is a thin-film metrology system that combines new proprietary multiangle differential polarimetry (MADP) technology with patented differential power spectral density (DPSD) technology to provide an optical thin-film metrology tool with high resolution, accuracy, and repeatability. The system enables tighter thin-film process control during production of the latest-generation ICs to increase overall device yield and performance. Scientific Computing International, Carlsbad, CA; ph 760/930-3992, [email protected], www.sci-soft.com.

Wireless communication metrology

The Accura C metrology system for advanced lithography is robot-loadable and is for lithography tracks with two or more robots arms. The system provides precise thermal data from real-time measurements of transient and steady-state temperature, thereby optimizing equipment and system-to-system performance. SensArray, Fremont, CA; ph 510/360-5600, www.sensarray.com.

Pressure transducer

The Model 227 pressure transducer is designed for installation on modular gas delivery systems or panels for monitoring of specialty gas processes in high-purity gas delivery systems and semiconductor process tools. The transducer has a space-saving 1.125-in. down-mount C seal interfacing base, weighs only 6.5oz and stands 3.6 in. high. The Model 227 is available with 5VDC, 10VDC or 4–20mA output with 0.25% of full-scale or 1.0% of reading accuracy. The Model 227 offers pressure ranges from 0–25psi to 0–3000psi in gauge, absolute, or compound pressure. Setra Systems, Boxborough, MA; ph 800/833-4227, [email protected], www.setra.com.

Mass flow controller

The Smart-Trak digital mass flow controller offers an easy-to-use interface, rugged stainless steel construction, and digital performance. The controller also is claimed to offer low price because for applications where elastomer seals are acceptable, it is now possible to have premium digital performance that was previously reserved for metal seal devices. Smart-Trak's Dial-A-Gas feature allows a user to control a variety of gases from a single instrument. Sierra Instruments, Monterey, CA; ph 800/866-0200, [email protected], www.sierrasmarttrak.com.

Fault detection and classification

The SilverBox platform and SilverBox Software Suite make up a fault detection and classification solution that includes software tools, hardware platforms, and fab-wide deployment methodology. The solution is scalable and incremental and includes data collection, monitoring, pre-treatment, and analysis based on univariate SPC and multivariate GPC methodology, and logistics for corrective actions. Si Automation, Montpellier, France; ph 33/467-033-700, [email protected], www..siautomation.com.

Photoelectric sensor

The W2 series subminiature sensor has a laser-like light source, precise optics, and extrusion housings that meet almost all automation requirements. Extrusion technology is used for subminiature components, and housings made in this fashion are no longer than the end of a cable. Durability and high performance are provided by metal-reinforced mounting holes, a protection rating of IP 67, specially developed optical ASICs, and highly accurate placement of transmitter and receiver elements. SICK Inc., Minneapolis, MN; ph 952/941-6780, [email protected].

Ion emitter bar

The ScorpION ion emitter bar controls electrostatic charges in semiconductor, display, and medical device manufacturing facilities. The bar's aerodynamic shape minimizes disturbance of unidirectional airflow, and its small size and 24VDC supply requirements make it well suited for use inside tools, flow benches, and cleanroom mini-environments. The ScorpION requires only an IR remote controller; a mounted, hardwire controller is not needed. Set-up and adjustment is done via IR remote. Simco, Hatfield, PA; ph 215/997-0590, www.simco.biz.

Thin-film SOI wafers

Complete line of Unibond SOI wafers are available up to 300mm, including both thin film and thick film versions, in high volumes. Proprietary Smart Cut Technology, based on ion implantation and wafer-bonding techniques, is used to produce the SOI wafers. Unibond thin-film wafers are produced in varying degrees of silicon-layer depletion to accommodate chipmakers' demands for very thin (down to 70Å) and uniform oxide layers required for advanced ICs and other high-end devices. Soitec, Bernin, France; ph 650/251-9066, www.soitec.com.

Spectroscopic ellipsometer

The model IRSE-300 automated, high-resolution, high-sensitivity infrared spectroscopic ellipsometer can characterize a wide diversity of advanced materials and films, including low-k dielectric films, thin epitaxial layers, thin diffusion barrier layers and copper seed layers, and deep-etched structures and shallow recesses. The IRSE-300 accommodates 200mm and 300mm wafers, and can be configured with open cassettes, SMIF and/or FOUP. SOPRA, Westford, MA; ph 978/392-2089, [email protected], www.SOPRA-SA.com.

PVD cluster tool

The Endeavor leading-edge PVD cluster tool for IC and photomask production features dual-cathode S-Gun source technology. The cluster platform can be configured with up to five independent source modules, or in combination including a plasma pre-clean station. Unique features include "sputter-up" wafer handling for low contamination levels in a variety of critical PVD and reactive sputtering applications. Sputtered Films Inc., Petaluma, CA; ph 707/765-5613, jalmeric@ tegal.com, www.tegal.com.

Equipment platforms

The Pro generation of equipment platforms delivers low cost/die solutions for single wafer plasma processes across a range of applications. Equipment is available with the manufacturer's plasma etch and deposition sources in loadlocked or cluster format. It delivers a compact footprint with the latest generation of PLC-based control system, providing enhanced communications, reliability, and functionality, coupled with fast and simple maintenance operations. For MEMS silicon micromachining applications etch rates of up to 20micron/min are available. Surface Technology Systems plc, Redwood City, CA; ph 650/569-3655, www.stsystems.com.

Full-field proximity mask aligner

The MA200e full-field proximity mask aligner is capable of submicron printing resolution. It is widely used in the production of MEMS devices and advanced packaging applications. The full-field exposure and wafer-transport system of the MA200e provide throughput up to 115 wafers/hr, including auto-alignment. The AL8100 auto-alignment system is fully integrated in the GUI, quickly showing the operator all important information. Suss MicroTec AG, Garching, Germany; ph 49/89-32007-237, [email protected], www.suss.com.

Pressure transducers

PTU series ultrahigh-purity pressure transducers feature metal thin film sensing technology to promote consistent, accurate performance. The sensing technology enables reliable readings over a wide range of temperatures and offers a stability rating of less than 0.2% of full scale/year. Interchangeable electronics provide flexibility, reduce inventory, and simplify and speed maintenance. Swagelok Co., Solon, OH; ph 440/349-5934, [email protected], www.swagelok.com.

Thin film metrology tool

The S-MAT 2300 tool accurately and measures thin films, including those of future device generations, including the 45nm node. Among parameters that can be measured are layer thickness, density, composition, and roughness. For porous layers, the void fraction, average pore size, and pore size distribution can be measured non-destructively using a variety of x-ray based measurements including x-ray reflectivity (XRR0, x-ray fluorescence (XRF), and small angle x-ray scattering (SAXS). Technos International Inc., Tempe, AZ; ph 480/775-4100, [email protected], www.technos-intl.com.

Etch cluster tool for MRAM development

Click here to enlarge image

The Tegal 6550 Spectra is an advanced etch cluster tool for MRAM development and pilot ..production. The 6550 Spectra features two dual-frequency ICP etch modules plus integrated downstream ICP and rinse-spin modules for integrated post-etch passivation. The 6550 has capabilities for etching ferromagnetic materials, alumina tunneling junctions, and associated electrodes. Tegal Corp., Petaluma, CA; ph 909/614-1767, www.tegal.com.

Digital vacuum gauge

The Digital VT vacuum indicator is a self-contained device that provides vacuum measurement for the DV-4 and DV-6 gauge tubes, as well as interchangeability with existing analog versions. It features an easy-to-operate 3-digit LED display, a 1/8 DIN package, and a wall transformer with 5V output. The device is offered for two specific ranges: DV-4 pressure range of 1–20torr, or the DV-6 pressure range of 1–999mtorr, with jumper features that are factory-set. Teledyne Hastings Instruments, Hampton, VA; ph 800/950-2468, [email protected].

Aluminum-extruded heat pipes

The PhasePlane is an aluminum-extruded, grooved-wick heat pipe. In electronics cooling, it is claimed to have many advantages over standard, copper, and sintered-wick heat pipes, including mass manufacturability, ease of application, and low weight. The flat sides of the PhasePlane provide a compatible mating surface to semiconductor chips and processors, making them easy to implement into complex designs. ThermoTek Inc., Carrollton, TX; ph 972/242-3232, [email protected], www.thermotekusa.com.

Linear motion guides

Linear motion guides using Caged Ball technology eliminate the heat associated with ball-to-ball friction, resulting in increased speed, longer product life, and less noise. Located within the ball cages are grease pockets that are designed to retain lubricant and minimize long-term maintenance. This technology is claimed to be especially effective in semiconductor inspection applications. THK America Inc., Schaumburg, IL; ph 800/763-5459, [email protected], www.thk.com.

Moisture and oxygen analyzer

The LaserTrace moisture and oxygen analyzer is based on an emerging technology called cavity ring-down spectroscopy, which allows for fast, accurate, and easy detection of contaminants. The LaserTrace is a multispecies, multipoint, and multigas analyzer that can detect H2O, CH4, H2, CO, NH4, H2S, HF, and other species. Tiger Optics, Warrington, PA; ph 215/343-6600, [email protected], www.tigeroptics.com.

Laser thermal processing systems

These laser thermal processing (LTP) systems combine projection optics and laser thermal annealing to enable a new generation of semiconductor devices. This chip manufacturing technology overcomes process barriers associated with advanced junction and contact formation at 0.1µm and below. LTP products include the LTP system and process, which provide fast circuits through high drive currents and low Miller capacitance. Ultratech Stepper, San Jose, CA; ph 408/321-8835, www.ultratech.com.

Production platform

The Shuttleline platform for R&D and pilot production merges the technology of four of the manufacturer's systems, leveraging experience gained from an installed base of more than 650 tools. The multi-process platform for thin film etching and dielectric deposition, including ICP, RIE, and PECVD, provides process solutions that can be applied to many markets and a variety of devices, such as LEDs, HBTs, HEMTs, SAW, photonics, and MEMS. Unaxis Semiconductors, St. Petersburg, FL; ph 727/577-4999, notker.kling@ unaxis.com, www.semiconductors.unaxis.com.

Electrodeionization system

The CDI-LX electrodeionization system provides an uninterrupted supply of high-purity water, up to 18megohm-cm, without any need for regeneration materials. The system is pre-engineered to meet the stringent requirements of semiconductor manufacturing customers, including resistivity, particles, silica, boron, and TOC. The CDI-LX offers a reliable, industrial design with simple installation, start-up, and operation. USFilter, Schaumburg, IL; ph 800/466-7873, www.usfilter.com.

Helium leak detector

The OptoLeak 4000 helium leak detection system is a mass spectrometer leak-testing system that offers magazine-to-magazine testing of hermetically sealed devices in standard Auer boat carriers. Data acquisition and control is provided by bar code scanning, which automatically tracks the devices and their associated load/unload magazines. Vacuum Instrument Corp., Ronkonkoma, NY; ph 631/737-0900, [email protected], www.vacuuminst.com.

Atomic force profiler

The Dimension Vx340 atomic force profiler extends the power of the manufacturer's CMP process characterization and measurement solutions to the 90nm technology node and beyond with higher throughput and added measurement capability. The Vx340 enables nondestructive automated measurement of dishing, erosion, and step height with high resolution, repeatability, and accuracy. Veeco Instruments, Santa Barbara, CA; ph 805/967-1400, [email protected].

Spectrographs for endpoint detection

The multiwavelength SD1024D spectrographs are used for endpoint detection in etch, CVDetch batch, and photoresist strip. When combined with a broadband light source, the spectrograph is used for etch applications without a stop layer (gate, recess, STI, and damascene) and CMP endpoint. Verity Instruments, Carrollton, TX; ph 972/446-9990, ext. 127, [email protected], www.verityinst.com.

Temperature control

The SERIES EHG temperature control was designed for fixed-temperature, setpoint control applications that require a longer lifespan, faster heat-up rates, and improved accuracy than those of traditional mechanical thermostat devices. Depending on the specific application, the new power-switching design lasts up to 40¥ longer than thermostats and has been tested to >4,000,000 cycles at rated amperage. Watlow, St. Louis, MO; ph 800/4Watlow, [email protected].

Optical leak detector

The HPQ-D self-contained, optical leak detector can sense liquid leaks or spills in a sealed semiconductor wet process pan. It is made of chemically resistant materials and will respond to <1ml of liquid in the optical focal point. Calibration has been perfected so the sensor can be used on any colored pan material without false triggering. Yamatake Sensing Control Ltd., Santa Clara, CA; ph 480/283-0950, [email protected], www.yamatakeusa.com.

Run-to-run control

The enTune solution provides enterprise framework-based run-to-run control for chipmakers with a full suite of advanced applications, including photolithography (overlay and CD), CMP, etch, and CVD controllers. Run-to-run control has proved effective in increasing process capability and throughput while reducing rework rates and test wafer usage. Yield Dynamics, Santa Clara, CA; ph 408/330-9320, [email protected].

Reticle evaluation station

The AIMS "fab plus" station for actinic reticle evaluation combines the AIMS fab with handling functionality. The station now enables flexible automated mask loading from a SMIF interface or from reticle boxes, required for use in wafer fabs. By selecting stepper equivalent optical conditions, the printability of mask patterns or defects is emulated and can be analyzed. Carl Zeiss Microelectronic Systems GmbH, Jena, Germany; ph 071/71-77 72 13, www.zeiss.de/microelectronic.