Product News
06/01/2003
System handles 300mm inspection at low cost
|
The AIT Fusion UV is the latest addition to KLA-Tencor's AIT
family of high-throughput, high-sensitivity double-darkfield inspection
systems, developed to address the needs of 300mm inspection and
excursion monitoring at 100nm design rules and below. The system provides detection capability for current-layer defects at speeds up to four times faster than the manufacturer's previous-generation system, including layers with grain, high color variation, or other noise sources such as CMP layers, copper/low-k interconnects, and multilayer film stacks. The AIT Fusion UV boasts low cost-of-ownership and performs defect classification inline at high speeds. KLA-Tencor, San Jose, CA; ph 408/875-5208, [email protected], www.kla-tencor.com.
Remote plasma source optimizes CVD chamber clean
|
The Xstream remote plasma source platform integrates a 6 or 8 kW remote plasma source and a highly efficient power supply with an active matching network to optimize CVD chamber clean as well as reactively enhanced deposition and etch processes. The Xstream accommodates a wide range of process chemistries, including H, AR, N2, O2, NF3, CF4, C3F8, C4F8, C4F8O, without reengineering or even modifying software or hardware. The platform operates with a high impedance range, for example, CF4 at 1torr and NF3 at 5torr. The compact, single-box platform optimizes power and water usage, and significantly reduces clean gas consumption. Advanced Energy Industries Inc., Fort Collins, CO; ph 800/446-9167, www.advanced-energy.com.
Metrology tool handles all copper/low-k processes
|
The AMS series 3300 is a third-generation metal measurement tool that helps automate 300mm processes. The 3300 uses SurfaceWave technology to deliver high-throughput, noncontact, nondestructive measurements of the thickness and uniformity of metal line arrays and pads for all copper/low-k processes. This third-generation tool has been optically enhanced to produce a smaller spot size and much smaller measurement penetration depth to enhance accuracy. Measurement repeatability is routinely better than 0.30% on line arrays, pads, or mesh structures with spatial dimensions as small as 40 by 40µm. This tool is claimed to already have shown metrology capability at the 90nm mode for advanced copper processes. The 3300 measures blanket and patterned metal films produced by chemical vapor deposition, PVD, and ECD processes. Philips AMS, Natick, MA; ph 508/647-1120, www.ams.philips.com.
PVD cluster tool boasts low-stress deposition
|
The Endeavor leading-edge PVD cluster tool for IC and photomask production features dual-cathode S-Gun source technology. The cluster platform can be configured with up to five independent source modules, or in combination including a plasma pre-clean station. Unique features include "sputter-up" wafer handling for low contamination levels in a variety of critical PVD and reactive sputtering applications. The Endeavor system is well suited for low-stress deposition on thinned wafers and defect-free films for DUVL and EUVL photomask blanks. Sputtered Films, Inc., Petaluma, CA; ph 707/765-5613, [email protected], www.tegal.com.
FIB system features fast editing for 90nm node
|
The VectraVision advanced focused ion beam (FIB) system is for circuit edit applications for the 90nm process node. The VecraVision enables chipmakers to rapidly modify circuit functionality and verify new circuit designs. The tool incorporates the VisION ion column, which was developed for editing cutting-edge microprocessors, digital signal processors, and graphics and wireless chips. The VectraVision allows backside editing of flip-chip packaged parts, and also offers easy access to features of interest that can be buried under up to nine layers of front-side metal. FEI Co., Hillsboro, OR; ph 503/640-7500, [email protected], www.feicompany.com
System measures wafer and photomask CDs
|
The MicroLine 300 system provides manual measurement of CDs for semiconductor wafers and photomasks. The system detects features from 0.5-40µm in size using a 100¥ objective lens, and up to 800µm at 5¥. Measurement repeatability is 2nm (1s) on photomasks and 4nm (1s) on wafers, using 100¥. The system consists of a high-quality microscope, computer, and imaging components working in conjunction with the manufacturer's software for image analysis, autofocus, and auto-illumination. Options include an automatic wafer loader with pre-aligner for full automation, UV illumination in reflected light, and a vibration-isolation platform. Micro-Metric Inc., San Jose, CA; ph 408/452-8505, [email protected], www.micro-metric.com.
Metrology system features wireless communication
|
The Accura C metrology system for advanced lithography is robot-loadable and is for lithography tracks with two or more robots arms. The system provides precise thermal data from real-time measurements of transient and steady-state temperature, thereby optimizing equipment and system-to-system performance. Designed with Bluetooth RF technology for wireless communication, the Accura C transmits thermal data instantaneously to the manufacturer's Thermal MAP software, allowing users to better understand wafer processing environments and characteristics. SensArray, Fremont, CA; ph 510/360-5600, www.sensarray.com.
Laser autofocus adjusts to other equipment
|
The LF100 laser autofocus is suited for semiconductors and reflective specimens. The compact module adjusts to different microscopes and optical systems. A new optical design eliminates the need to manually adjust the focus trim and loop gain; the microprocessor does this task, resulting in improved throughput. The LF100 maintains the capability to be manually focused with the use of a digipot. An intelligent digital control automatically senses when the sample has moved out of the field of view and stops the focus drive, while LEDs provide a clear representation of the focus status. The LF100 is equipped with limit switch inputs, a microstepping drive for the focus motor, and an RS232 port, which allows for programming of gains, servo loops, speed, limits, and various other parameters. Prior Scientific, Inc., Rockland, MA; ph 781/878-8442, [email protected], www.prior.com.
Metrology tools for 65 and 45nm nodes
|
These stand-alone and integrated 200mm and 300mm metrology tools for 65nm and 45nm nodes have the repeatability and matching needed to enable reliable process control. The advanced capabilities of the ultra-II tools on CVD etch/polish stops and hard masks will enable low-k integration. MetaPULSE-II provides fab-proven second-generation pulse technology for all stages of copper integration from ultrathin barrier deposition to post-CMP residual barrier, dishing, and erosion process control. Nondestructive, high-speed, accurate, and repeatable measurements of thickness and other opaque film stack properties enable real-time, on-product, process control for higher-yield manufacturing. WaferView automates macro-defect inspection for lithography or CMP at speeds of up to 120wph. The advanced color vision system accurately detects and classifies a range of macro-defects, including incomplete EBR, hot spots, and post-CMP metal residue. Rudolph Technologies, Inc., Flanders, NJ; ph 973/448-4316, [email protected], www.rudolphtech.com.
Surface roughness tester can operate anywhere
|
The SJ-301 Surftest surface roughness tester can use standard Compact Flash memory cards to store measuring conditions, measured data, and statistical results. Functionality can be extended by using the optional SurfPak software for lab-like analysis. The SJ-301 boasts differential inductance detection, a dedicated processor including SPC functions, a large display, and built-in high-speed thermal printer. Comprehensive measurements can be taken in any location. To operate, the detector/drive (connected to the display unit via an included 1m cable) is placed on the surface to be measured; pressing the start/stop key initiates detector traverse. Resulting parameter values and curves are displayed on an LCD screen and can be simultaneously printed out. Mitutoyo American Corp., Aurora, IL; ph 630/820-9666, www.mitutoyo.com.
Monitor measures undiluted slurry in copper CMP
|
The SlurryAlert BTA monitor provides continuous online measurement of undiluted slurry used in copper CMP. The monitoring system rapidly detects changes in particle size distribution and number of large particles, as well as shift in percent solids. SlurryAlert provides continuous one-minute measurements of BTA (benzotriazole), an effective copper corrosion inhibitor. The system requires nearly no operator involvement, and provides effective copper CMP process control, which requires measurement of BTA in incoming drums as well as on-line monitoring of the chemical delivery system. Monitoring of undiluted alumina, cerium, and silica slurry eliminates waste streams and troublesome valves. Real-time monitoring allows users to increase yield by preventing wafer scratching. Particle Measuring Systems, Boulder, CO; ph 303/443-7100, [email protected], www.pmeasuring.com.
Wafer mapping tool handles 90nm and below
|
The WaferSight optical flatness metrology tool provides high throughput wafer mapping of shape, flatness, and thickness variations. High-grade optics along with precision engineering enable both surfaces of a 300mm wafer to be mapped during a single acquisition, ensuring precise measurements at high throughput. Built for in-line metrology during wafer production, WaferSight models are available for both 300mm and advanced 200mm wafters. Both models meet industry roadmap requirements for wafer flatness metrology down to 35nm design rules. ADE, Westwood, MA; ph 781/467-3500, www.ade.com.
Pressure transducer helps save space
|
The Model 227 pressure transducer is designed for installation on modular gas delivery systems or panels for monitoring of specialty gas ..processes in high-purity gas delivery systems and semiconductor process tools. The transducer has a space-saving 1.125-in. down-mount C seal interfacing base, weighs only 6.5oz and stands 3.6 in. high. The Model 227 is available with 5VDC, 10VDC or 4–20mA output with 0.25% of full-scale or 1.0% of reading accuracy. The Model 227 offers pressure ranges from 0–25psi to 0–3000psi in gauge, absolute, or compound pressure. Bar ranges from 0–7 to 0–200bar are also available. Users have their choice of 9-pin or 15-pin D-sub connector, 4-pin Bayonet connector, or 6-ft multiconductor cable for electrical termination. Setra Systems, Boxborough, MA; ph 800/833-4227, [email protected], www.setra.com.
Wafer spin processing equipment
The WS-600 series of single wafer spin processing equipment features digital inputs, allowing conditions such as etch endpoint and rinse to resistivity to be detected within the process and action taken as needed. Many process and safety interlocks can be sensed and controlled. A new digital motor drive allows forward, reverse, and agitative rotation. Operational statistics are continuously tracked in real-time, and permanently stored. Each program step can be conditionally interlocked with multidirected action. Laurell Technologies Corp., North Wales, PA; ph 215/699-7278, [email protected].
Software makes defects visible
The DAS XP software suite allows rapid detailed analysis of defect data on Leica's inspection and defect review tools, or as an off-line solution on a stand-alone desktop platform. The DAS XP system combines an easy-to-use intuitive user interface with advanced data visualization and analysis to enhance tool and cell level defect visibility and decision making. The system calculates spatial signatures, repeaters, adders, prior-level defects, and clusters, and performs size-binning and advanced sampling on defect files generated by all contemporary defect inspection tools. Data can be viewed with a variety of 2D and 3D charts and wafer map controls. The full-featured system is claimed to be only a fraction of the cost of expensive yield management systems. Leica Microsystems, Wetzlar, Germany; ph 49/6441-29-2550, www.leica-microsystems.com.
Tiny sensors can be mounted horizontally
The VS4 series of opposed-mode self-contained miniature sensors are designed for precision sensing in tight spaces. Horizontal mounting capability and very small size make the VS4 well suited for applications previously accessible only by remote or fiber optic methods. The sensors use an optically correct lens that, through a periscope-like concept, redirects the visible red sensing beam 90° off the internal circuit board, sending light out the narrow side of the sensor. The series provides a powerful 1m sensing range and easy alignment for machine mounting. Banner Engineering Corp., Minneapolis, MN; ph 763/544-3164, [email protected], www.bannerengineering.com.
RF generator features low power, small size
|
The CB 300 low power/high frequency RF generator features small size (a 4¥5¥12-in. chassis) and low weight (15 lb), but offers rugged ..and stable design. DC power input is used, along with the same solid-state devices and RF amplifier as the preceding CX series of products. The CB 300 is for plasma, flat panel, IC, and storage media applications. Power output is 300W. Comdel Inc., Gloucester, MA; ph 978-282-0620, www.comdel.com.
Vibration-free platform enhances tabletop equipment
|
The Model 2212 vibration-free platform is portable and has a guaranteed flatness of ±0.004 in. over 24¥24 in. The platform is ..designed to enhance the performance of atomic force microscopes, micro hardness testers, profilometers, balances, audio components, and other precision tabletop equipment. This platform is available in four table sizes up to 24¥36 in., with load capacities ranging up to 450 lb. The Model 2212 is Class 100 cleanroom compatible, with Class 10 available as an option. Kinetic Systems Inc., Boston, MA; ph 617/522-8700, www.kineticsystems.com.
Combination pressure/vacuum transducer
|
The HPS Series 910 DualTrans is a combination pressure/vacuum transducer. The CE marked Series 10 is a combination Piezo and ..Pirani sensor with integrated electronics, digital communications, analog output, and three setpoints as standard features for process control. The transducer measurement range is from 10-5 to 1500torr. The compact combination transducer is based on a direct-reading absolute Piezo sensor and the MicroPirani sensor. The Piezo sensor includes a temperature compensation feature, allowing for high accuracy over a wide measurement range. Unlike traditional Pirani gauges, the element in the MicroPirani portion of the Series 910 is made of a 1mm square silicon chip, allowing the measurements to be made in a very small volume. MKS Instruments, Boulder, CO; ph 303/449-9861, www.hpsproducts.com.
Programmable zoom and focus enhances packaging
|
ClearVU Vision Alignment is a programmable zoom-and-focus feature on the manufacturer's semi-automated and inline dispensers for high-precision HDI and semiconductor packaging applications. ClearVU simplifies complex set-up requirements of subminiature substrates, varying size components, and multilevel assemblies for a variety of production environments. ClearVU allows an operator to zoom in on miniature fiducials 0.010 in. square ..or smaller, thereby improving alignment accuracy prior to commencement of the dispense program. The unit also features a multilevel programmable focus, allowing an operator to verify a fiducial on the substrate level, then switch to verify a component feature on a completely different level of the product or assembly. GPD Global, Grand Junction, CO; ph 970/245-0408, [email protected], www..gpd-.global.com.
Spectrographs are for endpoint detection
|
The multiwavelength SD1024D spectrographs are used for endpoint detection in etch, CVD ..etch batch, and photoresist strip. When combined with a broadband light source, the spectrograph is used for etch applications without a stop layer (gate, recess, STI, and damascene) and CMP endpoint. Verity Instruments, Carrollton, TX; ph 972/446-9990, ext. 127, [email protected], www.verityinst.com.
Etch cluster tool is for MRAM development
|
The Tegal 6550 Spectra is an advanced etch cluster tool for MRAM development and pilot production. The 6550 Spectra features two ..dual-frequency ICP etch modules plus integrated downstream ICP and rinse-spin modules for fully integrated post-etch passivation. The 6550 has capabilities for etching ferromagnetic materials, alumina tunneling junctions, and associated electrodes. These structures are at the heart of today's new MRAM non-volatile memory devices and the tunneling read-stripe technology needed for advanced magnetic storage. Tegal Corp., Petaluma, CA; ph 909/614-1767, www.tegal.com.
Software manages out-of-spec product, makes corrections
This module for the manufacturer's FACTORYworks, 300works, and PROMIS manufacturing execution software suites is called OCAPS (out-of-control action plans). OCAPS enables users to manage and accelerate the processing of out-of-spec product, and provides a structured, auditable workflow environment to guide users through an out-of-control problem-solving process. OCAPS is claimed to greatly reduce the average time-to-solution of an out-of-control condition by providing immediate corrective actions in a pre-defined window. Corrective actions can be configured at each step of a process plan. OCAPS allows operators and engineers to use their time more effectively, thereby reducing equipment downtime and improving manufacturing throughput. Organizations pursuing or monitoring compliance with ISO 9000 will benefit from the OCAPS module because OCAPS facilitates continuous process improvement. It also incorporates an electronic sign-off and escalation process. Brooks Automation, Chelmsford, MA; ph 617/367-.0100, www.brooks.com.
Bulk hydrogen purifiers feature modular design
PerfectH2 bulk hydrogen purifiers consist of 2–36 Palladium cells, mounted individually or in four-cell modules. Purifiers are available for flow rates up to 12,000 slpm. A modular design allows individual cells to be operated or serviced without system downtime. A central monitoring and control station displays current status of each cell or module, and the use of stand-alone purifiers on tools results in higher CO2, since spare identically sized Pd cells need to be stocked for each tool. Larger sized purifiers are required, since unused capacity of purifiers on other tools is not available. Matheson Tri-Gas, Ramsey, NJ; ph 201/825-1331, [email protected], www.matheson-trigas.com.
Heat pipes offer high performance
The PhasePlane is an aluminum-extruded, grooved-wick heat pipe. In electronics cooling, it is claimed to have many advantages over standard, copper, and sintered-wick heat pipes, including mass manufacturability, ease of application, and low weight. The flat sides of the PhasePlane provide a compatible mating surface to semiconductor chips and processors, making them easy to implement into complex designs. PhasePlane heat pipes are stackable, bendable, and bondable. The thin-walled aluminum extrusion used to make PhasePlanes provides light, low-profile thermal solutions. ThermoTek, Inc., Carrollton, TX; ph 972/242-3232, [email protected], www.thermotekusa.com.
Nanopositioning stages boast high performance
|
HERA Piezo nanopositioning and scanning stages provide closed loop travel to 500µm, which is claimed to be more than any comparable system on the market. Capacitive direct ..metrology guarantees high performance. Features include subnanometer resolution, millisecond response, and advanced digital control for improved scan linearity. PolyTec PI, Tustin, CA; ph 714/850-1835, [email protected], www.polytecpi.com.
Linear motion guides feature long life
|
Linear motion guides using Caged Ball technology eliminate the heat associated with ball-to-ball friction, resulting in increased speed, ..longer product life, and less noise. Located within the ball cages are grease pockets that are designed to retain lubricant and minimize long-term maintenance. This technology is claimed to be especially effective in semiconductor inspection applications. Three models of guides are available. THK America Inc., Schaumburg, IL; ph 800/763-5459, [email protected], www.thk.com.
Wafer electro-deposition system is for long processes
|
The Stratus vertical wafer electro-deposition system integrates the wafer quality and process advantages of vertical orientation with the economic advantages of parallel processing. Stratus is designed for long deposition time ..processes in applications such as gold and solder wafer bumping, redistribution layers, and various MEMS layers. The Stratus wafer holder ensures precise alignment between the wafer and critical process elements, such as the agitation paddle and plating electrodes, enabling small process cell size and more floor-space-efficient configurations. Current and voltage are computer controlled and monitored individually for each wafer, allowing for mixing of water types within the system and providing quick trouble-shooting of wafer yield problems. NEXX Systems Inc., Wilmington, MA; ph 978/284-4902, www.nexxsystems.com.
Software provides statistical analysis
JMP software gives users the tools needed to understand data visually by linking statistics with graphics. This link helps to interactively explore, understand, and visualize data. A user can continuously explore data from all angles, helping to detect both patterns as well as points that don't fit patterns. JMP software is intuitive and easy to use, and is designed for applications where users need to discover relationships and outliers in data. SAS Institute, Cary, NC; ph 919/531-0839, www.jmp.com.
Flow controller provides user flexibility
|
The IntelliFlow II advanced digital mass flow controller provides flexibility with user-configurable gases and ranges. Users can program generic MFCs to virtually any gas and range, within select boundaries and with each configuration meeting high performance standards and specifications. The IntelliFlow II manages cost risks for a variety of wafer processes through inventory reduction, optimized tool manufacturing and startup efficiencies, process ..development flexibility, and increased tool production availability. Mykrolis Corp., Billerica, MA; ph 978/436-6500, www.mykrolis.com.
Wipers clean water-contaminated surfaces
|
TX8410 AlphaSat wipers are pre-wet with 100% semiconductor-grade isopropyl alcohol. The wipers are used to clean surfaces that ..are sensitive to water contamination, such as vacuum process chambers of sputtering, etching, and CVD equipment. AlphaSat wipers are packaged in a red, easy-to-use flatpack package, which helps minimize contamination levels and the need to use solvent squirt bottles. ITW Texwipe, Upper Saddle River, NJ; ph 201/327-9100, [email protected], www.texwipe.com.
Digital frame grabbers correct errors
The X64-CL series high-performance camera link digital frame grabbers can acquire images from two unsynchronized cameras simultaneously, transfer images at a rate up to 528Mbytes/sec, and provide "trigger to image" reliability. The trigger-to-image capabilities both pinpoint errors, and compensate for them. Once a problem is detected, the application is immediately informed, and appropriate action is taken to return the system to normal operation. Coreco Imaging, Melrose, MA; ph 514/333-1301, [email protected], www.imaging.com.