New Products
12/01/2000
|
HDP-CVD
The Ultima system, the gapfill solution for intermetal dielectric, shallow trench isolation, and low-k film applications, now provides users with greater value for both 200mm and 300mm applications. This enhanced version of the Ultima high-density plasma-chemical vapor deposition (HDP-CVD) technology Ultima HDP-CVD Plus Centura features a new high-efficiency remote plasma source and the cVHP+ robot, lowering cost of ownership and offering extendibility to 0.13µm. The remote plasma source integrates power delivery and ion plasma source technologies to provide a highly efficient reaction with minimal component degradation. It reduces system clean time and NF3 consumption by >40%, giving a 10% increase in chamber throughput and lower gas cost. The dual-blade cVHP+ robot's secure wafer capture system gives a 3x increase in rotation speed, for improved sequencing and faster wafer swap time. Applied Materials Inc., Santa Clara, CA; ph 408/727-5555, fax 408/986-8352, www.appliedmaterials.com.
|
300mm metrology bridge tools
The Impulse 300 uses opto-acoustic technology to monitor opaque films such as copper, tungsten, and tantalum from 200Å-30,000Å. It can measure test pads and high-density arrays of sub-µm damascene features with metal linewidths down to at least 0.07µm. Fully automatic and Semi-compliant, it allows fast (<1 sec/site), nondestructive thickness and uniformity measurements on product wafers with Å-level repeatability. The 300 is generally used for CMP, CVD, PVD, and ECD process steps. Key (copper) applications include pre- and post-CMP characterization, missing layer detection, on-product measurement of test pads and high-density arrays of sub-µm features, edge-to-edge wafer mapping, diameter scans and edge profiles, and seed layer uniformity. The PZ3400 ellipsometry system nondestructively measures film thicknesses, refractive indices, and absorption constants of transparent films. The system is fully automatic and has below 0.01nm precision with films from 0nm to a few µm thick. It uses a combination of visible and infrared wavelengths to measure wafer parameters in seconds. It analyzes transparent films such as oxides, nitrides, and ultrathin gate oxides using visible light. Infrared sources monitor absorbing films such as a-silicon, polysilicon, polyimide, CMP, and resist. Multilayer films including ONO, OPO, and CMP can be evaluated simultaneously using an infrared DIR option, which also adds the ability to characterize the refractive index and k-value of polysilicon or a-silicon at HeNe wavelengths. Philips Analytical, Almelo, The Netherlands; ph 31/73-521-5592, fax 31/73-521-8648, www.analytical.philips.com.
|
Copper metallization and polishing
The Ultra ECP system electroplates copper on thin (<50Å) seed layers with high uniformity (<1% @ 1s) wafer-to-wafer and within wafer on both 200mm and 300mm wafers. It assures a very wide process window, starting at the 0.13µm node and extending to 0.035µm and beyond, improving the tool's cost of ownership. The stand-alone system processes single wafers at a throughput of 60 wafers/hour through the use of multiple stacked chambers accessed by dual robot end effectors. Fully compatible with the ECP process, the Ultra SFP system incorporates three polishing chambers and three cleaning chambers (including bevel and backside cleaning). With a minimum remove thickness of <50Å and a remove rate of 0.1 to 0.5µm/min, the system achieves throughput of 60 wafers/hr for 1.0µm films. This high throughput, elimination of consumables such as pads and slurries, and an uptime of 90% allow the Ultra SFP to achieve a very low cost of ownership (about 60% lower than with conventional CMP). Automated features include in situ remove rate uniformity monitoring and control, endpoint detection for the entire wafer, and compatibility with SECS/GEM, SMIF and AGVs. ACM Research Inc., Fremont, CA; ph 510/445-3700, fax 510/445-3708.
|
Single-side wet processing
Single Side Universal Wet Processing (SSUWP) systems are fully automated, dry-in-dry-out, cassette-to-cassette, single-side wet chemical processing systems. The unprocessed side of the substrate remains fully protected and free of any invasive encroachment or attack. Using a patent-pending "linear scan" process, thickness uniformities better than ±0.2% are repeatedly obtained, for a variety of material substrates, etch rates, and chemistries. The system allows for independent optimization of all process parameters, leading to very uniform processing and high throughput. MATECH Materials and Technologies Corp., Poughkeepsie, NY; ph 941/492-4665, www.matech.com.
|
Thin-film metrology station
The APECS 3000 combines the power of spectral ellipsometry with the ease of use of spectral photometry and is suitable for film metrology applications in the deposition, etch, photo, CMP, and diffusion areas. Ready-to-go measurement jobs for all main applications, combined with an intuitive software user interface, make the system easy to use in production environments. Off-line job set-up using application model libraries, and the ability to modify jobs quickly for integration of new layer stack measurements, substantially reduce engineering time on the tool. Extensive data evaluation capabilities provide everything necessary for process control. Based on this company's proprietary optics and laser auto levelling system, the APECS 3000 provides sub-Å precision measurements on the thinnest gate-oxide layers, as well as on ultrathin film stacks such as ONO and OPO. Using unique metrology algorithms, the system also expands its measurement application to very thick layers of resist or aluminum oxide. Field-proven robotics, ultrafast laser autofocus, modern detector technology, and powerful analysis algorithms produce throughput of >120 wafers/hr. DUV reflectometry down to 190nm is used to measure the antireflection coatings used in lithography processes. Leica Microsystems Inc., Bannockburn, IL; ph 847/405-0123, fax 847/405-0164, e-mail [email protected], www.leica-microsystems.com.
|
Wafer scale CSP
Xtreme CSP consists of three new versions of this company's chip scale packaging (CSP) technology: electroplated, ball placement, and single-mask CSP. This wafer scale processing and patented redistribution technology can be used in applications from baseband functions, such as microprocessors, DSP, and memory, to RF functions, such as power amplifiers, VCO, and LNA. A large number of small size/low I/O die that are being assembled into traditional SOP (wirebond) packages are good candidates for wafer scale CSP. This redistribution process technology uses multilevel copper thin film and low-k dielectric, allowing the addition of integrated passives on chip. Xtreme CSP is available through various processes: electroplated CSP, with ball heights <200µm, is compatible with SMT; ball placement CSP, with ball heights >200µm, is compatible with SMT and is designed to avoid underfill it is available in no lead and low alpha; and single mask CSP, the most economical CSP, which is available in limited design configurations. Unitive Advanced Semiconductor Packaging, Research Triangle Park, NC; ph 919/941-0606 ext 132, e-mail [email protected], www.unitive.com.
|
High-resolution failure analysis
X-ray inspection with the manually operated NXR-130 provides fast, very high quality real-time images for failure analysis of BGA, µBGA, and flip chip components. Typical inspection samples are PCBs up to 12 x 14 in. With 230x magnification capability, an 8µm focal spot, and X-ray source to 120kV, the tool can easily inspect the smallest and most difficult assemblies. Another salient feature of the system is 5-axis control, which can be employed alone and/or with the standard rotate and tilt positioner. The NXR-130 includes AIP II, the Windows-based digital image processor, to enhance images and highlight defects. Nicolet Imaging Systems, San Diego, CA; ph 858/635-8609, fax 858/695-9902, e-mail [email protected], www.nicimg.com.
|
Die sorting with visual inspection
The DS-7000 SpeedStar and DS-4000 SpeedStar die sorting systems now have visual inspection capabilities, integrating new vision hardware with established die-sorting software. All inspection is performed in situ in order to maintain high productivity by eliminating costly offloading downtime. Inspection can include advanced bump inspection, part sizing, product ID verification, bond pad inspection, and edge damage inspection. On the DS-4000, a mid-station vision system inspects each die after it is picked and before placement in a carrier. On the DS-7000 SpeedStar Tape/Reel, the vision system inspects the die before it is picked to determine whether or not it should be picked. If the die does not pass inspection, the system will skip the reject and continue to the next die. The die can also be inspected visually on the inverted collet or after placement in pocket or sticky tape. Final output inspection verifies die presence, accuracy of placement, and backside inspection for marks and product ID. Laurier Inc., Londonderry, NH; ph 603/626-4700, fax 603/626-4242, e-mail [email protected], www.laurierinc.com.
|
Pressure regulator
Designed for the semiconductor industry, the HF Series regulator is half the size of conventional diaphragm pressure regulators and is suitable for use in valve manifold boxes, gas interface boxes, tools, and any application where space is at a premium. The pressure-sensing assembly controls gas delivery pressure; the assembly is charged with an inert gas to set the desired pressure. The multiple, welded-diaphragm design offers more flexibility than a single diaphragm and permits higher system flow rates at lower pressures. Both set-pressure and adjustable, dome-loaded regulators are available. Set-pressure models are factory-charged to pre-selected output delivery pressures of 10, 20, 30, 50 and 80 psig. Dome-loaded models can be adjusted remotely while in service or can be factory-charged to deliver 10, 20, 30 or 50 psig outlet pressures. All models are available with a choice of butt weld end connections. Swagelok Co., Solon, OH; ph 440/349-5934, [email protected], www.swagelok.com.
Infrared gas monitor
InfraTox is an infrared gas monitor that meets the need for effective monitoring of several toxic gases used in semiconductor manufacturing, including C4F6, C4F8, C5F8, CH3F, SF6, and NF3. It uses photoacoustic infrared sensing technology and features measurement ranges of 0-100 ppm or 0-1000 ppm, depending on the gas to be monitored. The unit provides very stable detection of solvents and gases such as HCFCs, HFCs, and PFCs, and eliminates cross-sensitivity to water vapor. It has zero drift, to prevent false readings. InfraTox monitors are available in one, four or eight sample point models, with three alarm levels. Zellweger Analytics Inc., Lincolnshire, IL; ph 847/955-8200 or 800/323-2000, www.zelana.com.
Second-step copper CMP slurry
Cu10K-2 is a single-component, second-step copper CMP slurry. It has been qualified on several polishing platforms and has demonstrated very good results for the critical second-step copper polishing process, removing Cu, Ta and SiO2 at approximately the same rate and creating a uniform surface with minimal dishing and erosion. Planar Solutions LLC, Adrian, MI; ph 203/705-9232, [email protected].
|
High-power DPSS microlasers
This family of cw diode-pumped solid-state (DPSS) microlasers produce up to 400mW output at 457nm. Designated the 58 BLD series, the lasers are designed for OEM applications where small size, low power consumption, hands-off operation, and high reliability are critical. The compact, air-cooled laser heads are <10 in. long, including cooling fan and heat sink, and total power dissipation is just 100W. The microlasers are available with specified output of 100, 200 and 400mW; the output is linearly polarized. Beam quality is very high, with an M2 factor of <1.2, amplitude noise of <3%, and pointing stability as low as 7 mrad/hr. The wavelength of the 58 BLD series microlasers is almost identical to the important 457.9 line of the argon-ion laser. Applications include spectroscopy, particle characterization, surface and defect inspection, and laser display. Melles Griot Laser and Electro-Optics Group, Carlsbad, CA; ph 760/438-2131 or 800/645-2737, [email protected], www.mellesgriot.com.
|
Benchtop mass spectrometer
Optimized specifically for monitoring fast evolved-gas events, the Hiden HPR-20 TGA benchtop mass spectrometer features a state-of-the-art integrated interface and analysis system for real-time unequivocal detection of multiple gaseous species to 500 amu. The system is suited for application to diverse thermo/thermogravimetric processes and incorporates comprehensive data analysis programs for combined presentation of gas species and abundances, process temperature status, and evolution rates. The quartz/platinum internal surfaces of the QIC process interface ensure optimum sample integrity through the transfer stage to the close-coupled mass spectrometer ionization region, minimized internal volumes allowing response times to 100 milliseconds. User-variables control transfer temperatures to 200°C and balanced flow rates down to 1 A.cm3/min, with custom adaptors providing for connection to diverse TA/TGA systems. Routine maintenance procedures are simplified by the open architecture design, all critical sampling components being fully user-replaceable. Precise temperature uniformity eliminates cold spots for long-term trouble-free operation. Hiden Analytical Ltd., Warrington, UK; ph 44/1925-445225, fax 44/1925-416518, [email protected], www.hiden.co.uk.
High-performance pressure transducers
The PC-302 Series pressure transducers are designed to withstand high corrosion and provide high-purity pressure measurement with very high accuracy. All wetted surfaces are made from 316L stainless steel, VIM-VAR, with a surface finish with <3RA. These features make the sensors highly suitable for use in semiconductor manufacturing and for specialty gas mixing applications. PC-302 transducers are available in dead-end or flow-through configurations. They provide accuracy of ±0.25% from bestfit straight line, including the effects of nonlinearity, hysteresis, and repeatability. A wide pressure range is available, from 0-6 psig to 3000 psig and 0-15, 25 and 50 psia. Pureron USA Inc., 818/775-0381, fax 818/772-2477, www.pureronusa.com.
Sensor integration and analysis
FabGuard is a sensor and analysis system that automatically captures, organizes, analyzes, and stores valuable data, both from this company's sensors and from built-in tool sensors and makes the data available to users through a simple, consistent interface. These robust analyses reliably detect endpoints and faults while improving process control. If an out-of-spec condition occurs, FabGuard pinpoints the cause and notifies the appropriate person, minimizing downtime and reducing the number of wafers lost to misprocessing and test runs. INFICON Inc., East Syracuse, NY; ph 315/434-1100, [email protected], www.inficon.com.
|
Digital vacuum gauges
DigiLine digital vacuum gauges which include Piezo, Pirani, Piezo/Pirani, and Bayard-Alpert, along with controllers for single and multiple gauge heads eliminate the electrical signal conversion required by analog gauges, offering interference-free data transmission. The digital signal can be read directly into a PC or PLC. DigiLine comes with Profibus interface capabilities or an optional DeviceNet converter for implementation into an existing system controller. Also, LON Works and CC Link are available. All units are interchangeable and use the same cabling for a lower total gauge cost. The gauges are manufactured with a rugged metal housing, a choice of two serial interfaces (RS232/RS485), and simple calibration through PC or this company's vacuum controllers. Pfeiffer Vacuum Inc., Nashua, NH; ph 603/578-6500, fax 603/578-6550, [email protected], www.pfeiffer-vacuum.com.
|
Digital mass flow controllers
Delta-Class, a new digital mass flow and pressure controller for low-flow gas applications, offers several advantages over conventional mass flow controllers. It controls gas mass flow to 1% of setpoint and pressure to 0.5% of full scale, with repeatability within 0.1% of full scale. The built-in adaptive valve control feature automatically adjusts and corrects the controller's performance to varying process conditions. The new controller gives a MTBF of 500,000 hrs, and <0.2% zero drift/year contributes to lower maintenance and greater process availability. Delta-Class comes loaded with TruCal and can be immediately adapted for up to 10 actual gas calibrations, reducing spares inventory. The choice of digital (DeviceNet) or analog I/O communications options, and VCR or C-seal downport configurations simplify integration into new or existing process tools. Brooks Instrument, Hatfield, PA; ph 215/362-3669, fax 215/362-3745, [email protected], www.brooksinstrument.com.
Defect analysis software
WaferAnalyzer 2.2, with camLine statistical process control (SPC), is a powerful software application designed to analyze production-related defect problems and to monitor process variations through advanced trend identification. Offering rapid analysis of process defect issues and real-time process control, 2.2 is proficient at monitoring production trends such as in-line process control and inspection tool control. It can efficiently analyze defect patterns while the SPC application has advanced set up for control charts and performs distribution analysis. ADE Corp., Westwood, MA; ph 617/831-8089, [email protected], www.ade.com.
|
Liquid flow controller
Model 6500 Integrated Flow Controller (IFC) is designed for accurate control of the flow rates of high-purity liquid chemicals, enabling users to gain control of process parameters for critical dispense applications. Compatible with highly corrosive chemicals and designed for use in CMP slurry applications, the 6500 uses all PTFE wetted parts and a double diaphragm valve coupled together with this company's differential pressure flowmeter. Similar in operation to mass flow controllers used in gas applications, the IFC performs closed-loop flow control to deliver exactly the desired liquid flow rate. It controls liquid flow rate from 25 mLpm to 10 Lpm, using an analog setpoint signal from the user's process tool. NT International, Minneapolis, MN; ph 763/502-0200, fax 763/502-0300, www.nt-intl.com.
|
Digital throttle valve with controller
Type 683 CommunicaTorr is a digital exhaust throttle valve that is designed for computer-integrated applications, where a simple pressure control system is required. It integrates all control, communication, and driver circuits via a compact "add-on" electronics module onto a standard MKS Type 253 throttle valve assembly, eliminating the need for a separate pressure control electronics module. The throttle valve can operate in either of two modes, flapper position control or pressure control, via a DeviceNet network communication interface. All adjustable setup parameters, run time operation, and diagnostics information are available through the network. Type 683 is compatible with the MKS Type CT27 Digital Baratron capacitance manometer, to provide all the components required for a network pressure-control loop. The 683 contains an integral digital valve controller with a second-generation control algorithm that drives the system to setpoint faster than conventional controllers and ensures repeatable process parameters without operator involvement. MKS Instruments Inc., Andover, MA; ph 800/227-8766 or 978/975-2350, [email protected], www.mksinst.com.
Aluminum sputtering target
The SOLO PLUS aluminum sputtering target has fine grain size and low particle generation for better film uniformity, providing consistent, predictable performance through target life and from target to target. The fine average grain size and controlled crystallographic texture ensures minimal variation in copper content throughout the target. The combination of fine size and controlled microstructure produces defect-free films. The high-purity target is bonded to a high-strength aluminum backing plate using the Forte bonding process. The combination of the target material and the strong backing plate produces a rigid structure that has a lower deflection than a monolithic design, reducing particle generation and arc events. High strength in the flange area also provides reliable mounting integrity. Tosoh SMD Inc., Grove City, OH; ph 614/875-7912, [email protected], www.tsmd.com.
|
Corrosive gas detectors
These rugged yet sensitive monitoring systems for the detection and measurement of Cl2, F2, HCl, HF, and other corrosive gases are designed to respond quickly to potentially hazardous leaks and releases. The PureAire Corrosive Gas Monitoring Systems are suitable for in situ wet scrubber monitoring, fenceline monitoring, and other demanding applications. The systems respond quickly to low ppm or ppb concentrations of the target gas and are unaffected by rapid changes in temperature or humidity. They can operate in 100% RH condensing environments, as well as in oxygen-deficient and/or hydrogen-rich atmospheres. The systems consist of a renewable, highly selective, self-checking electrochemical sensor and companion transmitter linked to an optional control panel. They may be equipped with or without a local readout and are available in several measurement ranges. For additional installation versatility, the signal from the transmitter may be routed directly to alarm, control, or facility-wide surveillance systems. PureAire Monitoring Systems Inc., Rolling Meadows, IL; ph 847/788-8000, fax 847/788-8080.
Vaporless Marangoni drying
The AVID IPA drying system, for silicon and III-V wafers and flat panel display substrates, features all the process benefits of advanced Marangoni drying without the hazards and complexity of creating IPA vapor. The delivery system atomizes isopropyl alcohol (IPA) into microscopic liquid droplets that effectively create the differential surface tension drying without the need for IPA heaters and safety equipment. Ultrahigh-purity materials and an emphasis on particle performance at 0.16µm particle size provide the extreme cleanliness required for <0.25µm geometries. AVID can dry silicon wafers up to 300mm in diameter, III-V wafers to 150mm, and flat panel displays to 1 meter. L-Tech Corp., Mountain View, CA; ph 650/903-0304 ext 16, [email protected], www.ltechcorp.com.
|
Sealing materials for chipmaking
Parofluor ULTRA are high-performance, perfluorinated elastomer materials for use in semiconductor manufacturing and other applications where thermal stability, broad chemical resistance, and ultrahigh purity are required. Formulated to reduce contamination and provide long seal life in harsh environments, they consist of new perfluorinated compounds (including ULTRA FF200-75, FF350-75 and FF500-75) each with physical properties that offer a choice of sealing performance levels. The materials available in black, white and translucent retain their sealing capabilities in very high temperatures (up to 320°C/608°F continuous for FF200-75). They are resistant to a wide variety of chemicals, including organic and inorganic acids, bases, amines, steam and hot water, ketones, and aldehydes. Parofluor ULTRA materials can be formed into O-rings, molded shapes, composite metal
ubber vacuum fork blade seals, slit valve door seals, and many other sealing configurations. Parker Hannifin Seal Group, Irvine, CA; ph 949/851-3756, fax 949/851-3799, [email protected], www.parofluor.com.
Moisture analyzers
The V Series FTIR-based analyzers measure trace H2O contamination in corrosive gases. They can reach the lower detection limit of 10 ppb of H2O in matrices of HCl, HBr, and NF3. Results are attained in real time, with measurement intervals <1 min. Rather than traditional purging of the instrument, the spectrometer compartment is evacuated to 10-5 torr to eliminate background atmospheric moisture. This can reduce set-up times from two weeks (for a high-quality purge) to 24 hours. MIDAC, Irvine, CA; ph 949/660-8558, fax 949/660-9334, e-mail [email protected], www.midac.com.
Gas cylinder weighing scale
Model CWS 321 gas cylinder weighing scale, for gas cabinet and gas cylinder applications in semiconductor production, is accurate to within 0.10% of full scale, with long-term stability of 0.5% FS/1 year, which optimizes gas usage and reduces replacement costs and production downtime. The scale platform is available with a load capacity of 60 lbs (30 kg) or 300 lbs (135 kg). Its low profile (0.97 in.) and small footprint (9.25 x 9.25 in.) provides easy loading and off-loading of the cylinders with minimal lifting. Due to a multiple strain gauge design, off-center loading is 0.10% FS, assuring virtually no loss of accuracy. An additional RFI shield is combined with the high-resistance strain gauge to ensure low susceptibility to output noise and RFI error. Setra Systems Inc., Boxborough, MA; ph 800/257-3872, fax 978/264-0292, e-mail [email protected], www.setra.com.
Solvents, acids and blends
These ultrapure PPT grade solvents, acids and blends are designed for such applications as photoresist, resist strippers, edge bead removers, drying agents, etchants, and cleaners. Available are acetic acid, IPA, PGMEA, DPGME, EEP, NMP cyclopentanone and other keytones. Technic France, La Plaine Saint Denis, France; ph 33/14-946-5100, fax 33/14-820-5530, e-mail [email protected].
157nm broadband reflective coating
ARC 1570 broadband reflective coating is optimized to deliver superior reflectance, 84-86%, at 157nm (at normal incidence), while also providing very good reflectance, 88-90%, throughout the UV and visible spectral regions. The high-efficiency coating can be optimized for other angles of incidence and is available on customer-supplied materials or as a complete mirror by selecting a standard substrate. ARC 1570 is available in single piece or in high-volume OEM quantities. Applications include semiconductor metrology tools and laser exposure systems that require 157nm optimization for next-generation lithography. Acton Research Corp., Acton, MA; ph 978/263-3584, fax 978/264-0859, e-mail mail@acton-research.
Manifold pressure controller
Model GC12-4CH is a very fast (as short as 50ms), high-precision, four-channel pressure gauge and control device. It includes four independent pressure meters, control valves, and bleed valves with an integral field-programmable PID loop controller. Communication is a choice of either analog (0-5V, 10V or 4-20mA) or digital. Pressure control ranges from negative to 100 psig with +0.25% accuracy and 0.1% stability. Alicat Scientific Inc., Tucson, AZ; ph 520/290-6060, fax 520/290-0109, www.alicatscientific.com.
Conductive, ultrapure silicon carbide
Low Resistivity PerformanceSiC CVD silicon carbide provides purity, stiffness, chemical and oxidation resistance, the ability to withstand thermal shock, and dimensional stability combined with low electrical resistance. It can satisfy any application that requires electrical conductivity, wear resistance, and thermal shock resistance, such as heating elements, susceptors, chambers, sputter targets, processing chambers gas distribution plates, edge rings, heaters, electrostatic chucks, and all types of electrodes. The material is theoretically dense and intrinsically pure, has a high degree of chemical and process inertness, and has a bulk electrical resistivity of 0.012 ohm-cm. Performance Materials Inc., Hudson, NH; ph 800/700-1283, fax 603/598-9126, www.performancematerial.com.