Issue



World News


07/01/2000







WORLDWIDE HIGHLIGHTS

Capacity utilization tightens as book-to-bill strengthens
Front-end fab capacity utilization was 99.5% for the month of April, a one percent increase over March's revised figure of 98.5%, according to industry researcher, VLSI Research, San Jose, CA. The chart shows that utilization has been growing, but VLSI predicts that after May, utilization figures will decline month-by-month until September and growth will resume in October.

Click here to enlarge image

VLSI said also that the world IC book-to-bill ratio increased to 1.04 in April (see chart). The research firm predicts that after May, utilization figures will decline month-by-month until September and growth will resume in October.

May's book-to-bill may reach as high as 1.08.

Orders for semiconductors totaled $13.7 billion for April, up $800 million from March's 12.9 billion, while sales for April were $12.5 billion, a decrease of approximately $2.8 billion from March.

Tool bookings total $2.7B in April, says Semi
April certainly didn't bring many showers to North American equipment firms, which saw bookings continue on a steady upward climb in the month to $2.7 billion, according to the report from the Semi trade association.

Click here to enlarge image

The bookings figure marks an 8% rise over March's upwardly revised $2.53 billion in orders. (Preliminary booking figures for March totaled $2.45 billion.) Similarly, shipments rose in the month to $1.93 billion (see table), up 11% from a revised $1.73 billion in March. All figures are three-month moving averages.

The resulting book-to-bill ratio came in at 1.42, down from 1.46 in March and 1.44 in February. The slightly softer ratio didn't come as much of a surprise to a number of analysts, including the team at SG Cowen Securities, which said that the lower ratio was expected "given the seasonal nature of this business."

Strong chip demand and new fab activity should continue to drive "expansion of the semiconductor equipment sector," said Semi president Stan Myers. — C.L.

IC Insights: 2000 forecast upgraded
The Scottsdale, AZ, market researcher IC Insights has raised its semiconductor forecast for the year, and now says worldwide capital spending will reach an all-time high at $50.0 billion, a 52% increase over 1999's $33 billion.

IC Insights president Bill McClean, the featured speaker at a recent Semi-sponsored breakfast meeting in Burlington, MA, discussed the recently released IC Insights update to The McClean Report, 2000 Edition. The updated forecast now sees the world IC market growing to $197.2 billion this year, a 32% increase over 1999's $149.4 billion. This marks a 9% increase over the 23% growth predicted in January.

As a result, the semiconductor equipment market is forecasted to reach the $42.5 billion level this year, a 50% year-over-year increase. IC Insights also forecasts double-digit increases for the materials market, which is expected to grow 18% to $26.2 billion.

Tight capacity should help drive a ramp in IC average selling prices in the 2Q00.

USA

Applied Materials Inc., Kuching, Malaysia, has received an order worth more than $100 million from 1st Silicon (Malaysia) Sdn. Bhd. The order, which Applied expected to begin shipping in early May, encompasses a range of process technologies, including dielectric, metal, and silicon etch; chemical mechanical polishing; rapid thermal processing; dielectric and metal chemical vapor deposition; physical vapor deposition; and scanning electron microscope defect review systems. In a separate announcement, Applied Materials has pledged $1 million to the Applied Materials Shanghai Research and Development Fund, a joint effort between the company and the Science and Technology Commission of Shanghai. In addition to supporting research projects and scholarships, the new pledge is expected to fund an annual international information technology forum beginning this fall that will bring science and technology dignitaries from around the world to local universities and institutes.

Click here to enlarge image

The AZ Electronic Materials business of Clariant has added deep-UV and 193nm steppers to its functional testing cleanroom in Somerville, NJ. The ASML 5500/300 (shown) deep-UV stepper was installed to reduce cycle time for customer evaluations and support in the US, and to support AZ's US production of deep-UV photoresist products as needed in the future. AZ expects that the addition of the Ultratech 193nm microstepper will enable it to offer a greater number of improved products in a shorter period of time.

DuPont Photomasks Inc., Micron Technology, AMD, and Motorola have extended their operating agreement for the DPI Reticle Technology Center, LLC (RTC) through 2002. RTC is a joint venture for advanced photomask development and pilot line fabrication of leading-edge photomasks. An independent, limited-liability company originally formed by the four companies in 1997, the RTC establishes the technical foundation and processes required to create photomasks for future-generation semiconductors. This includes working with critical photomask equipment and materials suppliers to help define their technology roadmaps and to do early beta-site evaluation of their products. Housed in Round Rock, TX, the facility features a 6500 ft2 cleanroom equipped with state-of-the-art photomask production and analytical equipment. To date, the companies have invested a total of approximately $75 million in the facility.

IBM will manufacture next-generation Alpha microprocessors for Compaq Computer Corp. using IBM's advanced copper chip technology. Under the agreement, IBM will also provide chip packaging and testing services. Volume production is expected to begin in the FQ01. Compaq indicated that these copper Alpha chips will be used in its entire AlphaServer series of computer systems now, and in Compaq's Himalaya systems in the future. Under terms of the agreement, IBM will initially manufacture the Alpha microprocessors with circuit sizes of 0.18mm, allowing for a two-to-three times increase in available circuits on the chip. This technology will permit the integration of processor, memory controller, and cache memory onto a single piece of silicon.

Molecular beam epitaxy product supplier EPI MBE Products Group, St. Paul, MN, has opened a corporate sales and service office in Santa Clara, CA. The new office will serve as a direct-contact center for the western US and act as a means for quick-response service capabilities for all EPI products.

In Silicon Valley, Morgan Advanced Ceramics has formed a new semiconductor equipment industry unit — Morgan Semiconductor Products — that will sell a range of semiconductor solutions, including ceramic and carbon materials, organic coating, ceramic/metal assemblies, and e-chucks. The new division's sales force will be backed by a full-service application engineering and sales center, which also will offer technical services and support to customers.

Coherent Inc. Semiconductor Group (CSG) has opened its new commercial applications laboratory located in Santa Clara, CA. Headed by Applications Manager Tony Hoult, the facility will perform proof-of-principle trials for prospective direct-diode opportunities. The new laboratory will allow Coherent to focus on both current applications for diode lasers, and the development of applications in the growing direct-diode laser thermal market.

RocketChips Inc., Minneapolis, MN, has relocated its IC Design Center in Austin, TX, to a facility three times larger than its previous space, with expansion potential for future growth. The Design Center first opened its doors in 1998 with two employees. Currently there are 19 employees, primarily analog and mixed-signal design engineers developing ICs for gigabit-speed connectivity in local, wide-area, and storage-area networks.

Schlumberger Semiconductor Solutions, a unit of the Test & Transactions business segment of Schlumberger, San Jose, CA, has completed installation of its 100th EXA 2000 system-on-a-chip (SOC) test system in Taiwan. The installation took place at KYEC, one of Taiwan's leading semiconductor test subcontractors. Schlumberger's other SOC system customers in Taiwan include TSMC, UMC, ASET, Siliconware, Winbond, MediaTek, ITE, ASI, FICTA, Walsin, and Sigurd. Schlumberger now has a total installed base of more than 260 SOC systems worldwide.

According to Nanya Technology Corp., San Jose, CA, it will spend more than $800 million in capital expenditures for its newest 200mm fab in Linkao, Taiwan. The new plant, called Fab 2, is reportedly capable of producing 30,000 wafers/month and reportedly was in pilot production of 128Mbit DRAMs using 0.175mm technology. Fab 2 was slated to start full production in mid-2000; Nanya expects to bring 256-Mbit DRAM production to market by late this year.

In an effort to meet customer demands, ATMI Inc., Danbury, CT, is expanding its silicon epitaxy capacity by 25% at its Epitronics business. Epitronics' Silicon Division, Mesa, AZ, will be adding eight single-wafer silicon reactors, which will expand its tools to a total of 36 that are dedicated to specialty silicon epitaxial services.

Karl Suss, Munich, Germany, and Image Technology, Palo Alto, CA, are partnering to further develop and standardize 9 in. masks for high volume wafer bumping and wafer-level chip scale packaging production. Under the terms of the agreement, the companies will work together to define quality standards for 9 in. precision photomasks and qualify soda lime masks.

Micro-ASI Dallas, TX, and Siemens Energy & Automation's Electronics Assembly Equipment Business Unit, Norcross, GA, have formed a strategic alliance to provide cost-effective, high-volume flip-chip manufacturing to OEMs and other electronic contract manufacturers. This month, Micro-ASI will install both a design/prototype line and a multimillion dollar high volume turnkey manufacturing line for high speed and high quality module and circuit board production. The line will be composed of Siemens' SIPLACE S25 and F5 flip-chip placement machines.

Emcore, Somerset, NJ, has entered into an agreement with Motorola, which would include supplying Motorola with epitaxial process technology and multiple MOCVD tools, and purchase orders for electronic device epitaxial wafers. Additionally, Motorola awarded Emcore its Standard Supplier Designation, which means that Emcore is the only company qualified to supply MOCVD tools for Motorola's compound semiconductor factories.

Mattson Technology Inc., Fremont, CA, and IMEC, Belgium, are teaming up to develop new photoresist and residue removal processes. The development will take place at IMEC's research facility in Leuven, Belgium. The two companies will focus on the challenges of low-k and copper processes for £0.13mm. The two companies have been working together since 1998 to develop dry strip technologies for 0.18-0.13mm technologies.

Kopin Corporation, Taunton, MA, will be establishing a second high volume production fab for heterojunction bipolar transistors (HBTs). The 60,000 ft2 plant is located in Taunton, MA, just 1.5 miles from the company's headquarters. The new fab is expected to be complete in October and by 1Q01 it should generate approximately 40% of Kopin's HBT production.

In addition, Kopin Corp. has ordered six additional HBT systems from Aixtron AG, Aachen Germany. Scheduled for delivery in 2001, these systems will give Kopin 22 machines, eight capable of supporting multiple 4-in. HBT systems and 14 capable of handling multiple 6-in. HBT systems. Kopin's HBT transistors are used to produce power amplifiers circuits for GSM, CDMA, TDMA, and PCS handsets. They also are used in broadband OC-48 and OC-192 SONET systems, which form the backbone of the highest speed fiber-optic networks. The six Aixtron systems will enable Kopin to increase its 4-in. transistor capacity by 33%, and its 6-in. capacity by 67%. With these systems, Kopin expects its 4-in. capacity to be more than 400,000 wafers/ year, while its 6-in. capacity will expand to 200,000 wafers/year.

Mentor Graphics Corp., Wilsonville, OR, and KLA-Tencor have added to their collaboration in design-for-yield solutions. As of last week, a scanning electron microscope (SEM) metrology template for the Calibre Optical & Process Correction (OPC) test pattern was made available for downloading from Mentor's web site. The technology is also available on request from customers using KLA's 8100XP series CD SEM. The template allows users of KLA's 8100XP series CD SEM metrology equipment to reduce the setup time for measuring OPC process parameters, and facilitates data gathering for process monitoring applications.

Eaton Corp. has renamed its Beverly, MA, semiconductor equipment operation as Axcelis Technologies. The move comes as part of Eaton's plan to spin out the ion implant unit this year. An IPO for Axcelis was targeted for June. Eaton says it will own 80% of Axcelis following the IPO, but plans to completely divest the unit by the end of the year.

Numerical Technologies announced a multiyear, multimillion dollar technology licensing agreement with Motorola Semiconductor Products Sector. Under the terms of the agreement, Motorola will license Numerical's iN-Phase software and patented phase-shifting technology for the commercial production of high-end, high-performance ICs. Numerical's iN-Phase software product combines phase shifting with optical proximity correction and silicon verification technologies.

International Sematech, Carl Zeiss, and the EUV LLC announced a program to demonstrate advanced optics for extreme ultraviolet lithography (EUV). Carl Zeiss will deliver the optics to the EUV LLC and the Virtual National Laboratory in 2Q00. The optics will be used to create a small-field, two-mirror, high NA camera at the EUV Virtual National Laboratory. The companies will use these optics to demonstrate the scalability of EUV technology to 0.03mm and below.

Semitool Inc., Kalispell, MT, a leading supplier of surface preparation and electrochemical deposition equipment and applications, and Advanced Process Control (APC) Software, announced that its wholly owned subsidiary, SEMY Engineering, Inc., a leading supplier of APC solutions to the semiconductor industry, has received an initial order from the ATMEL Corp. to provide productivity improvements to its factory in France with the expectation of follow-on orders for installations in the US and Asia. Installation of SEMY's APC solutions began in May 2000 and will include statistical machine control that provides automatic fault detection, predictive/preventive maintenance, recipe management and, overall equipment effectiveness for ATMEL's Fab 7 facility in Rousset, France.

FeinFocus USA Inc., a leading supplier of real time high-resolution x-ray inspection systems for semiconductors, electronic devices, and automotive and aerospace products, has relocated its North American headquarters to Stamford, CT, as of May 1. The company is currently based in Simi Valley, CA. Additionally, the company's Silicon Valley office in San Jose, CA, has been upgraded to a true Technical Resource Center. The facility will feature enhanced on-site customer training and demonstration capabilities, laboratory inspection and application services, technical sales, and engineering support.

Ion Optics, Waltham, MA, has been awarded a Phase II small business innovation research contract from the National Science Foundation to develop a water vapor sensor using MEMS technology. Ion Optics will use the technology to build a lab-quality water vapor sensor onto a single IC, the SensorChip. The IC will be sensitive enough to replace much larger, more complex equipment, but inexpensive enough to be used in many applications such as battery-powered single-use measurements in weather balloons.

JAPAN *** Japan Steel Works (JSW) has ordered more than $11 million worth of industrial excimer lasers and line beam optics from Lambda Physik, Fort Lauderdale, FL, and MicroLas Lasersystem GmbH, a joint venture company of Lambda Physik and Jenoptik. The order includes delivery of the new Lambda STEEL (Stable Energy Excimer Laser) series, which delivers up to 300W (1000mJ per pulse) at 308nm for peak-to-peak pulse stability and reliability. The lasers and optics will be integrated into JSW's TFT annealing systems, which use excimer laser radiation for the polycrystallization of amorphous silicone thin film on substrates.

In an effort to secure its plasma display panel (PDP) needs, Sony will participate in the Fujitsu and Hitachi joint venture to manufacture PDPs announced in April 1999. At that time, Fujitsu and Hitachi each owned 50% of Fujitsu Hitachi Plasma Display. Manufacturing was slated to take place in Kawasaki. Sony now has a 15% stake in the company, while Fujitsu and Hitachi each own 42.5%. To keep up with production demands, FHPD is building a new facility in Miyazaki (Kyushu).

Underwriters Laboratories' proposed 2360 standard for testing plastics used in semiconductor tool construction is now an official standard. UL2360 provides another method for determining the combustibility of plastics used in the fab. Insurance conglomerate FM Global (formerly Factory Mutual) introduced its controversial FM4910 flammability testing protocol in late 1997.

To increase capacity and meet rising demand for chips, Fujitsu, Tokyo, disclosed that it will increase its semiconductor capital expenditures to 200 billion yen (US$1.8 billion) for FY00. Of the 200 billion yen, Fujitsu will invest 90 billion yen in its logic LSI manufacturing and 100 billion yen for flash memories.

In an ongoing effort to expand production, UMC's subsidiary, Nippon Foundry Inc. (NFI), Tateyama, Chiba Prefecture, Taiwan, will invest some 29 billion yen (US$271 million) to increase production of 200mm wafers to 30,000/month by 4Q01. The foundry was formerly owned by Nippon Steel Corp. but was sold to UMC back in January 1999. Future plans for NFI include a move to 0.21mm process technology in 2000. In 2001, the company is anticipating a move to 0.18mm technologies and in 2002 NFI expects to be at 0.15mm.

With a total investment of two billion yen (US$18.7 million) on the line, Komatsu and Ushio, both in Tokyo, are jointly establishing a firm to develop, manufacture, and market excimer laser sources for ArF/KrF lithography applications. The firm is slated to open on August 1, and will be equally owned and operated by Komatsu and Ushio. Though no president has been announced, the new venture is expected to have some 250 employees, who will come from each company's excimer laser departments. The name of the new venture has yet to be decided, however, sources indicate that it will not include the parent firms' names. In addition to developing KrF/ArF lasers, the two companies are participating in the F2 lithography development project, which was commissioned to the ASET Association of Super-Advanced Electronic Technologies) by the New Energy Industrial Technology Development Organization.

By setting up a new subsidiary in Silicon Valley, Japan's Olympus Optical Co. hopes to expand its semiconductor business in the US and build new business partnerships. The new subsidiary, named Olympus Integrated Technologies America Inc. (OITA), was incorporated in March and officially opened its doors for business on April 3. OITA will define and develop products in partnership with local companies. The company is currently constructing a development lab, which will house product development, engineering, sales, and service.

Japan's Tokuyama, a leading chemical company in Yamaguchi prefecture, has resumed construction of a new 20 billion yen polycrystalline silicon manufacturing facility. Current schedules call for the plant to be completed by next April. The facility will have an annual production capacity of 1500 tons. Including the new facility, Tokuyama's polysilicon capacity will total 4800 tons annually. Construction on the facility began in March 1998, but the work was put on hold in July 1998 in response to the regional DRAM recession. The decision to resume construction follows moves by a number of Japanese silicon wafer suppliers to prepare for 300mm wafer volume production.

Semi officials are exploring possible changes to the location and format of the annual Semicon Kansai and FPD Expo events traditionally held in Osaka, Japan.Dennis Uchida, president of Semi Japan, said the trade association is still exploring various alternatives, but indicated that a final decision is due by the end of the month. Some of the alternatives include a possible restructuring of the Semicon Kansai exposition into a set of conferences.This year's Semicon Kansai and FPD Expo, held May 31-June 2, featured 290 exhibitors and 16,584 attendees, said Uchida, who noted that attendance was up this year because of the upturn in the market.

ASIA PACIFIC *** ST Assembly Test Services Inc., Singapore and Milpitas, CA, has signed a 10-year agreement with Infineon Technologies AG, Munich, to provide wafer-sort test services and periodic test program conversions for ICs manufactured by Infineon and its subsidiaries worldwide. The high-speed digital and mixed-signal test services will be provided to Infineon from STATS' 560,000 ft2, ISO 9001/14001-registered and SAC Level 1-certified test and assembly facility in Singapore.

General Silicon Materials Inc. (GSM), Fremont, CA, has opened a new wafer manufacturing facility in Shanghai, China. The company will focus its production on 3, 4-, and 5-in. raw wafers. GSM said that qualification samples are now available for as-cut, lapped, or polished wafers.

In a move designed to increase production of LCD driver ICs, Seiko Epson, Suwa, Japan, plans to buy Texas Instruments Japan's Hatogaya Plant. A final agreement between Seiko and TI Japan, Tokyo, is expected by October 1. By purchasing the facility, Seiko Epson believes it will be able to increase production of driver ICs quicker than if it built a new plant. Seiko Epson is the top supplier of LCD driver ICs for cellular phones. The company said some 150 employees will transfer to the TI Japan plant. Seiko Epson intends to begin manufacturing 5 million LCD driver ICs/month at the TI Japan facility this October, when the firm's total LCD driver IC manufacturing capacity will be increased to 35 million chips/month, up from today's 18 million chips/month. The Hatogaya Plant -— TI Japan's oldest — was established in 1968. The facility's current capacity is 25,000 5-in. wafers/month. TI Japan made the decision in April 1999 to close the plant because it believed that the 5 in. line could not be upgraded to an 8 in. line.

Akrion Pte Ltd. (a wholly-owned subsidiary of Akrion LLC), Singapore, has opened its Asian Headquarters and Technology Development Center in the Singapore Science Park II. Akrion made an initial investment of $8.5 million in the facility, which will employ up to 60 people. Included in the facility are a Class 1 applications lab and a Class 10,000 product development and training room.

EUROPE *** ST Microlectronics opened a new 200mm wafer plant in May in Rousset, France. The plant, Rousset8, will have a capacity of 7000, 200mm wafers/week and will occupy 19,900 m2 of space. The facility will focus on advanced digital circuits and nonvolatile memories, and with the nearby 150mm Rousset fab, will be a main source for microcontroller-based smart card chips.

Micronas, Freiburg, Germany, has announced it has broken ground for a second fab module situated adjacent to its existing fab. The new extension, which will cost $25 million to build and is scheduled to start production in March 2001, will increase available cleanroom space to 41,980 ft2 and will enable the company to increase its production of consumer, multimedia, and automotive ICs.

Picopolish has opened a new 7200 m2 manufacturing facility in Bevaix, Switzerland. Picopolish supplies reclaimed wafers used for monitoring/testing and manufacturing semiconductor chips. The Bevaix facility incorporates two separate production lines providing this service on both silicon and gallium arsenide (GaAs) materials.

Microchip Technology has said it is acquiring Matsushita Semiconductor's idled chip complex in Puyallup, WA. The deal is expected to close in July. Equipment installation will begin in October, and production will follow in August 2001, initially using 0.7mm and 0.5mm processing technologies.

In a collaborative program leveraging the benefits of a fully integrated lithography cell, FSI International Inc., Chaska, MN, and ASM Lithography Holding N.V., Amsterdam, the Netherlands, have linked one of FSI's POLARIS 2500 microlithography cluster tools with a PAS 5500/750 deep-UV step-and-scan system from ASML. The cell, which can process 120 200nm wafers/hour, was installed at ASML's demonstration lab in Tempe, AZ, and is being used by customers to test deep submicron manufacturing applications as required by the IC industry's technology roadmap. In addition to technologies from FSI and ASML, the cell is equipped with Millipore Corp.'s proprietary IntelliGen dispense system with integrated IMPACT LHVD filtration, and Extraction Systems Inc.'s real-time monitoring system and VaporSorb Profile 2500 filtration equipment. Shipley Co. will provide a regular supply of deep-UV photoresist; other resist types will be available and tested based on customer requirements.

Just two years after it was founded, Custom Silicon Configuration Services (CS2), Brussels, Belgium, has started construction of a second area array foundry in Kortenberg, Belgium. At that location, CS2 will construct a 12,000 m2 facility that will house the company's third cleanroom, thus tripling the company's cleanroom area to 6,000 m2. The site is expected to be operational later this year.


Temperature monitoring systems

Click here to enlarge image



At Semicon West, SensArray Corp. will introduce the APTOS 2 system, for in situ temperature monitoring and analysis in plasma processes, and APTI wafers, which provide accurate peak temperature indication for plasma etch processes.

To learn more about these innovations, see Semicon West Product Panorama, p. 295.


Metrology system for USJs

Click here to enlarge image



Boxer Cross Inc.'s BX-10 system is reportedly the first metrology system for measuring ultra-shallow junctions critical to the performance of 180nm and smaller devices.

It has recently been installed at International Sematech.



Japanese chipmakers integrate production and design

Click here to enlarge image

By working together, a chipmaker's production and design departments should be able to make a better and cheaper chip than either can do alone. This theory is being put to the test in Japan, where chipmakers are trying to create a seamless development environment where automated process technology design is completely unified with automated circuit design. Details can be found in "Japanese chipmakers lower costs with design/process integration, wafer-scale packaging," by Yasuaki Nagahiro, Editor, Nikkei Microdevices on p. 62.


POU dry pump achieves low pressures

Click here to enlarge image



BOC Edwards is launching a new point-of-use dry pump that is the first integrated pump capable of achieving low pressures without the need of a secondary pump.

The IPX 500 will be featured at Semicon West, and more information on it can be found in the Product Panorama, p. 295.


New facilities in Japan

Tokyo Electron Kyushu, Saga, in Kyushu Island, has decided to add a new 10 billion yen coater-developer production facility adjacent to the existing coater/developer plant in Kumamoto, Kyushu Island. Construction of a three-story building with 40,000 m2 will have started in May and is scheduled to be completed by end of the year. TEL Group's coater-developer production capacity will be increased to the 100 units/month level from the present 80 units/month level.

Matsushita Electronics Corp. (MEC), Osaka, Japan, will build a 100 billion yen (about US$922 million) system-on-chip manufacturing plant for 0.13mm and 0.10mm devices, though the exact location of the new fab is unknown. Sources in Japan say the fab will be built somewhere in the Hokuriku district, perhaps in Toyama or Niigata Prefecture. The plant will be operational next year. The new line will be brought up on 200mm wafers rather than 300mm wafers. Susumu Koike, president of the firm, says a full set of 300mm equipment for 0.13mm device manufacturing is not yet available.

Sony, Tokyo, has decided to construct a second fab to support graphic LSI demand for the company's new PlayStation 2 game console. PlayStation 2 debuted in Japan earlier this year and is expected to hit the US market in time for the holiday shopping season. Sony expects to build the new fab, called Fab 2, in the next few years, quite possibly as early as next year. The facility will be built adjacent to Sony Computer Entertainment's Fab 1 in Nagasaki, Kyushu Island.

Fujitsu, Tokyo, disclosed that it will spend 140 billion yen (US$1.3 billion) to construct a third 200mm fab at its Aizu-Wakamatsu Fukushima Prefecture site. Construction of the third fab will begin in August and is expected to be completed by February 2001. The new fab will manufacture 200mm wafers using 0.23mm, 0.18mm, and lower process technologies. Fujitsu is building a 200mm fab, rather than a 300mm fab, to meet increasing flash memory demand.

Hitachi was scheduled to begin construction of a 200mm wafer plant in Kofu, Yamanashi prefecture, in July, with operations slated to begin in January 2001. Initial production capacity is expected to be 3,000 wafers/month. The company will manufacture microprocessors and system LSIs. The plant has been named K6, and once completed will have a total of 18,000m<+>2<+> of cleanroom space.


Acquisitions

In a deal valued at $30.6 million, Brooks Automation Inc., Chelmsford, MA, has acquired veteran semiconductor equipment manufacturer Irvine Optical Co. (IOC), Burbank, CA. The acquisition was considered a pooling of interests and was completed on May 5.

In a move designed to increase its presence in Europe, inspection equipment supplier MKS Instruments, Andover, MA, plans to acquire vacuum subsystem manufacturer Telvac Engineering Ltd., Telford, England. No financial terms of the agreement were released. Telvac develops vacuum subsystems and offers manufacturing outsourcing to OEMs in the thin-film semiconductor/analytical instruments market. The company employs 22 people and will remain in Telford. This announcement comes on the heels of MKS' most recent acquisition of vacuum instrument developer, Compact Instruments, Woburn, MA.

In an effort to expand its IC test development capabilities, Amkor Technologies Inc., Chandler, AZ, plans to acquire privately held Integra Technologies LLC,

San Jose, CA. Two years ago Integra was formed through an internal buyout of what was once Lucent Technologies Component Evaluation Technology Center. Once the acquisition is complete, Integra will become a subsidiary of Amkor.

Rohm and Haas will acquire Mitsubishi Chemical's photoresist business to strengthen its Asian market presence. Shipley Microelectronics, a division of Rohm and Haas Company's Electronic Materials group, will absorb the business. Mitsubishi Chemical Corp. markets g-line, i-line, and deep UV photoresist chemistry. The acquisition includes manufacturing and research facilities in Kurosaki, Japan, and ChonAn, Korea. The agreement will be reviewed by appropriate government entities and was expected to close before the end of June 2000.

Plasma system maker TePla AG, Kirchheim, Germany, has signed a letter of intent to buy MetroLine Industries Inc., Corona, CA. Terms were not disclosed. In 1999, TePla had sales of DM18.4 million (US$9.2 million), expected to increase to DM45 million in 2000. MetroLine also makes plasma systems, 90% of which are sold in the US. Some five percent of TePla's sales are in the US.


Purchase orders

FEI Company, Hillsboro, OR, has announced a multiple-unit order totaling nearly $3 million from a leading supplier of semiconductor system solutions for communications electronics. The order combines FEI's focused ion beam photomask repair with stylus nano-profilometry from Surface/Interface Inc., Sunnyvale, CA. The combined equipment, which will deliver advanced photomask repair and precise 3D surface profiles of mask surfaces, is scheduled for delivery in 3Q00.

FSI International Inc., Chaska, MN, has received orders totaling $8 million for its POLARIS 2500 microlithography clusters from two major thin film head manufacturers. The systems will perform DUV processing used in next-generation thin film head technology. This follows FSI's announcement in early May that it received more than $60 million in orders for its POLARIS clusters and ZETA Surface Conditioning Systems from 1st Silicon (Malaysia) Sdn. Bhd., Kuching, Malaysia. Also 1st Silicon has purchased multiple SPEED high density plasma chemical vapor deposition tools from Novellus Systems. The order will outfit 1st Silicon's new 200mm wafer fab, which company officials say will have a capacity of 30,000 wafers/month. The facility is located in Kuching, Sarawak, Malaysia. Launching production this fall, officials of 1st Silicon Sdn. Bhd say the Novellus tools will be used for shallow trench isolation applications and for depositing intermetal dielectric layers in 0.25mm and 0.18mm CMOS logic devices.

Newport Corp., Irvine, CA, has received approximately $2 million in orders from Samsung Electronics, Korea, for Newport's automated laser welding and test and measurement systems. The products, which will be used to produce fiber optic communications components, are scheduled for delivery during 3Q00.

PRI Automation Inc., Billerica, MA, has received a multimillion-dollar order from Hyundai Electronics Industries Co. Ltd., Korea, that includes PRI's wafer storage and transport systems and material control software for automating the movement of wafers throughout the fab. This is PRI's first order from Hyundai and its second major factory automation order from a large Korean semiconductor manufacturer in recent months.

Trikon Technologies Inc., Newport, Wales, has received an order from a new gallium arsenide (GaAs) facility in Asia set to manufacture wireless devices.

The order comprises a Sigma PVD system for front- and back-side metalization, a Delta for PECVD silicon nitride passivation, and Omega ICP etch systems for both front-side thin film and through-wafer plasma etching.


Clarifications

Click here to enlarge image

Figure 1 of the May Etch article, "Pattern transfer into low dielectric constant materials by high-density plasma etching," p. 125, contained some inconsistencies. The mask opening in a) and the resulting opening in the layers in b) were wider than intended. In c) and in h), the opening should not have extended through the lower stop layers. The corrected figure is shown below.




In the Millennium Report in the May issue, IBM's choice of low-k materials supplier was incorrectly listed. IBM is using SiLK semiconductor dielectric resin from The Dow Chemical Co. for the production of advanced interconnect structures in 0.13mm devices.




Part 1 of the MRS conference report, "Hot topics at MRS: low-k, dopant profiling, copper," June, p. 50, contained an incorrect description of zirconium dioxide developments at Stanford University. The correct description follows: Charles Perkins of Stanford University demonstrated that the leakage current in ZrO2 was 107 times lower than in a silicon dioxide layer with the same equivalent oxide thickness (1.5nm). (The physical thickness of ZrO2 was about 5.0nm, with a dielectric constant of 20-25.)