Product Preview
06/01/2000
Semicon West Product Preview
DUV step-and-scan tool
|
This KrF (248nm wavelength) lithography system, the PAS 5500/750E, is optimized for high-volume production of ICs with 130nm design rules. It features the Carl Zeiss Starlith 750 DUV lens, which has numerical aperture of 0.7, partial coherence of 0.88, and the lowest available aberrations. To optimize process latitude for 130nm imaging, the system is equipped with the AERIAL II illuminator, which provides high intensity for both conventional and annular illumination. The PAS 5500/750E also includes as standard the QUASAR module, designed as an extension of the AERIAL II, which allows chipmakers to optimize their depth of focus and exposure latitude process window, and to reduce mask error factors for the most advanced layers of circuitry. The multipole illumination mode is generated optically, maintaining exposure intensity for maximum productivity. The new tool delivers very tight overlay (<30nm), as needed for production of devices with 130nm rules. The ATHENA dual-wavelength, high-order alignment system is included as standard with the system, for increasing the alignment process latitude on the most advanced process layers, such as tungsten CMP. ASML, Veldhoven, The Netherlands; ph 31/40-268-3938, fax 31/40-268-2000, www.asml.com.
Wafer-transport robot
|
The Axys 407 atmospheric robot achieves the repeatability and reliability needed to meet the demands of the most advanced processing tools. It is directly driven by a sealed-for-life gear system, eliminating maintenance downtime, and it incorporates four major field-replaceable subassemblies that reduce the total parts count as much as fivefold by comparison with older robot designs. Reliability is >10 million mean cycles before failure. Axys 407 is sub-Class 1 cleanroom-compatible and can move either 200mm or 300mm wafers from any position in a wafer cassette or carrier into a process station or loadlock of any process tool. Proprietary SmartCourse software allows the operator to specify complex robotic motions and enables the robot to move wafers from two 300mm FOUPs without the use of a costly horizontal linear track. Axys 407 also serves as a critical component of this company's PLUS Portal, a turnkey tool-automation solution that allows seamless integration between OEM production tools and advanced fab automation systems. Asyst Technologies Inc., Fremont, CA; ph 510/661-5000, fax 510/661-5166, e-mail [email protected], www.asyst.com.
Compact solvent processor
|
Model 300 ML executes a wide range of solvent processes solvent cleaning, developing, metal lift-off, resist stripping, polymer removal, flux removal with optimum precision while maintaining strict safety standards. Designed for solvent processing on 300mm wafers and square glass, the system performs automatic brush scrub, high-pressure scrub, megasonic cleaning or a combination of processes, depending on user requirements. The 300 ML can process single or multiple solvents, fresh or recirculated, at ambient or heated temperatures, and at high or low pressure. A solvent separation and recirculation system minimizes chemistry costs and disposal requirements. Contained in a stainless steel 316L frame and with width/depth of 36 x 36 in., the 300 ML requires minimal cleanroom space. It has a Windows user interface for easy operation, with all system parameters controlled via PC for precise control. Solid State Equipment Corp., Horsham, PA; ph 215/328-0700, fax 215/328-9410.
Failure analysis tool
|
The Real Time Probe System (RTPS) helps both designers and failure analysis engineers quickly de-bug complex submicron devices. It uses the advantages of atomic force microscopy (AFM) to allow probe visualization and placement during either front- or backside probing at deep-submicron geometries. The prober uses a micromachined cantilever to perform submicron imaging <50nm and make direct contact with the circuit node. RTPS enables the user to catch one-time and spurious events while also allowing high-bandwidth, low-load measurements. Unlike traditional AFMs, it is expressly designed for probing of packaged parts and wafers. Imaging is performed with a single button, and probe placement is tracked on a visual display. The system has expansion capability to support up to four probe heads simultaneously and can be configured for passive RF, active RF, and DC probe tips. Karl Suss/Micron Force Instruments, Munich, Germany; ph 49/89-320-07129, in US, ph 802/879-5403, www.suss.com or www.suss.de.
Film thickness measurement and mapping
|
EpiScan 1000 is a high-speed film thickness measurement and mapping tool designed for leading-edge characterization of advanced thin epitaxial films. It uses infrared spectral reflectometry, which provides superior measurements because the reflectance spectrum is calculated from first principles and compared with the measured spectrum. This model-based method ensures accurate data for epitaxial films as thin as 0.3mm. The tool can also accurately measure epitaxial films on high-resistivity substrates (up to 0.06W-cm). It maps 25 points on 200mm production wafers in <1 min., reducing wafer-monitoring requirements and facilitating precise uniformity verification on a full production basis. ADE Corp., Westwood, MA; ph 781/467-3500, fax 781/467-0500, www.ade.com.
Multitasking component mounter
|
The ACM Micro is designed for placement of flip chips, micro-BGAs, and other chip-scale packages. Open architecture also allows the system to accommodate odd-form and through-hole components, as well as QFPs. Two pairs of placement heads with adjustable placement force and automatically exchangeable grippers and vacuum nozzles pick components from both the front and rear of the unit, allowing one machine to place QFPs as large as 2 in.2 and flip chips with a 0.006-in. bump pitch as well as odd-forms and through-hole components up to 2 in. high. Other features include a high-resolution FPD; low-angle "strike lighting" for BGAs; a high-resolution camera with 100% bump alignment; flexible vision software to accommodate varying ball patterns; a dual-dip fluxer for application of flux onto two flip chips simultaneously; a thin-profile, vertically mounted direct-die feeder; and a waffle-pack feeder with automatic tray exchanger. The ACM Micro's ultraprecise repeatability (17.5mm at 5s) is made possible by an extremely smooth H-drive positioning system, featuring linear motors mounted on all three axes, as well as linear encoders with 1mm resolution. Philips Electronics North America Corp., Alpharetta, GA; ph 770/751-4522, fax 770/751-4439, e-mail [email protected], www.news.philips.com.
Wafer cleaning technology
|
Sonic Fog is an alternative wafer-cleaning technology that addresses contamination challenges commonly associated with current wet and dry processes. It is a liquid-phase isopropyl alcohol (IPA) drying system that completely dries substrates from 50mm wafers to 1m2 glass panels using room-temperature liquid IPA and ultrasonics to achieve high levels of cleanliness and productivity. Sonic Fog combines the benefits of nonmotion, low-consumption IPA drying, while eliminating the need for fire suppression systems or IPA recovery or reclaim. With low chemical emissions and efficient use of IPA, it is a simple, environmentally responsible process. Sonic Fog technology reduces particle contamination on wafers significantly better than current spin rinse dryer processes and vapor-type solutions, increases wafer throughput, and lowers COO. Systems are available for stand-alone replacement of older drying technologies or as OEM modules for wet chemical process applications. AIO, Fremont, CA; ph 510/249-1310 ext 3042, e-mail [email protected].
Capacitance manometer
|
The Baratron Etch Manometer is designed for deposit-forming plasma etch applications such as advanced metal etch. It improves productivity by eliminating measurement uncertainties due to the process-induced zero drift caused by particulate contamination and deposits on the measuring diaphragm. This minimizes production time lost while the manometer is re-zeroed after process drift; it also extends the instrument's lifetime. In addition, the unit is temperature-controlled at 100°C to minimize deposition of condensable gases. A deposition baffle is structured into the sensor housing. This structure creates a flow channel between the baffle and the sensor housing, causing gas flow to be redirected through the flow channel before it reaches the diaphragm. Molecules traveling through the flow channel will collide with boundary surfaces thousands of times before reaching the diaphragm, dramatically increasing the likelihood that they will deposit on the boundary surfaces (in this case, the deposition baffle) instead of the diaphragm. MKS Instruments Inc., Andover, MA; ph 978/975-2350 ext 5541, e-mail [email protected], www.mksinst.com.
Post-CMP cleaner
|
DamasClean is designed to serve as a stand-alone tool for 200mm wafer cleaning and can be integrated into CMP cluster tools as an OEM product. It features multiple cleaning mechanisms, modular architecture, an industrial-standard robot platform, and a flexible process flow. Wafers are processed in a horizontal orientation for distinct treatment of front- and backsides and for accelerated wafer handling. The user has the choice of one-cassette serial processing or two-cassette parallel processing in which two post-CMP cleaners are integrated into one tool. DamasClean makes possible sub-0.1mm cleaning, and dual-cassette input and output stations provide high permanent throughput. STEAG Electronic Systems Inc., Tempe, AZ; ph 480/777-0021, fax 480/777-0025, e-mail [email protected].
Real-time CMP slurry monitoring
|
SlurryChek is a new technology for measuring changes in particle size distributions in CMP slurry. It easily detects the presence of large particles, which cause wafer scratching and yield loss. By eliminating the need for dilution, SlurryChek avoids problems such as pH shock, dilution errors, and waste-stream creation. It can continuously monitor shifts in particle sizes in the slurry distribution system or can be used for container sampling. System software allows the user to set up process control alarm limits, notifying users of unacceptable particle size distribution shifts before yield loss occurs. SlurryChek measures the spectral transmission properties of the slurry at thousands of wavelengths to ensure the accurate characterization of changes in particle size distribution and percent solids. Real-time display of mean and mode particle sizes is provided, as well as total particles above a user-defined threshold. Particle Measuring Systems Inc., Boulder, CO; ph 303/443-7100, fax 303/449-6870.
Wafer-level IR Mercad system
|
Mercad Telluride array technology has been incorporated into these emission microscopes and backside wafer systems to increase from 7 to 10x the sensitivity to photon emissions from chip leakage, especially from the backside. Increased sensitivity is critical because extremely faint emissions may be the only means to pinpoint a serious failure site. The emission-absorbing silicon filter effect makes sensitivity critical when inspecting through the backside of silicon in advanced wafers and packages. For maximum spatial resolution, an innovative beam splitter links the Mercad Telluride array and the industry-standard backside emission analysis microscope system (BEAMS) sensors with 0.25mm spatial resolution. BEAMS is most sensitive to wavelengths from 400 to 1200nm, while Mercad Telluride is most sensitive from 1000 to 1500nm. The combination yields the highest spectral response available in any commercial emission microscope, and allows Mercad arrays to be used in wafer-level work for the first time. Both Mercad Telluride and the BEAMS sensor are available as upgrades for existing systems. An exclusive camera design was created for this application. Hypervision Inc., Fremont, CA; ph 510/651-7768, fax 510/651-1415, e-mail [email protected], www.hypervisioninc.com.
Dicing of solid BGA
|
In this advanced packaging technology, the solid BGA design, the product looks after molding like a compact "chocolate bar" in which >100 chips have been baked. The advantage of this technique is that many die can be processed onto a leadframe in a single press run. After "baking" of the BGA block, a singulation of all the individual chips is necessary. The integrated and automated SB-S saws solid BGA blocks, cleaning and drying the individual packages all within the same equipment and without using a tape. A nest jig fixes the single packages during processing. The SB-S holds the substrate by vacuum and provides very secure BGA sawing and processing. It features the following automated functions: loading of the solid BGA substrate into the equipment, alignment, loading into the nest, positioning, sawing/dicing, attaching a nest cover, wash and dry, removal of the nest cover, inspection, and off-loading. TOWA Corp./Macrotron Systems, Munich, Germany; ph 49/89-45111-145, fax 49/89-45111-105, e-mail [email protected].
Vibration isolation system
|
The VIP vibration isolation and positioning system is an active, electro-pneumatic system that controls the height and damping of any pneumatic vibration isolation system. Its ±0.0002-in. accuracy and rapid height control (<1 sec) make the system well suited to CMMs, semiconductor, and microelectronics manufacturing equipment. VIP consists of a PID controller, electro-pneumatic servo valves, height sensors, and other equipment. It senses displacement and creates restoring forces that minimize responses due to equipment-generated dynamic forces. VIP can be used to upgrade systems regardless of the manufacturer. Users can control motion, tilting, and damping while operating machines at maximum capability, yield, and precision. VIP also controls the level of the optical table for improved alignment and pointing accuracy. Other features include active vertical damping to decrease overshooting and bounce during settling; elimination of mechanical valves that transmit vibration; unlimited load capacity; automatic overtravel protection that ensures against isolator damage; controller-based adjustments for height, level, gain, and damping; indicator lights for status; and digital readouts for height and adjustments. Barry Controls, Brighton, MA; ph 617/787-1555, fax 617/782-8140, e-mail [email protected], www.barrymounts.com.
High-throughput KrF scanner
|
Using a 2kHz excimer laser, the FPA-5000ES2+ scanner can expose 125 wafers/hr (200mm). It is a "dual era" KrF scanner whose platform accommodates both 0.18 and 0.15mm design rules and allows conversion from 200 to 300mm wafer format within a day. The 0.68 NA tool features the ULTiMA lens assembly and Zernike coefficient analysis lens tuning for the lowest possible wave front aberration. The pre-scan focus system provides consistent scanning performance on and off the wafer edge die. Overlay accuracy is further improved (25nm) by applying air flow and temperature control within the machine chamber and by using a hybrid active damper. More rigid supporting materials are used in the 200/300mm convertible FLAT II (fast linear motor air-guided tilt) wafer stage. Its improved vibration isolation structure (VISII) with a hybrid linear motor active damper ensures synchronization control at high scanning speed. Canon USA Inc., San Jose, CA; ph 972/409-7800, e-mail [email protected], www.usa.canon.com.
300mm front-opening shipping system
|
FabFit 300 is a reduced-pitch, front-opening shipping box (FOSB) for 300mm wafer handling. It has a 25-wafer capacity and is 40% smaller than standard-pitch 300mm FOSBs; the pitch is 6.35mm, compared with 10mm for standard-pitch configurations. The system features front interface mechanical standard (FIMS), which is accessed manually or through automation on the loadport. FabFit 300 is primarily composed of polycarbonate materials, ensuring stability during the shipping process and the integrity of the FOSB during long-term wafer storage. It weighs 13.5 lbs, compared with 18-19 lbs for a standard-pitch system, and its smaller design takes up less cleanroom floor space. The secondary packaging system uses a ploymer suspension assembly to cushion wafer-filled FOSBs during shipment. This represents an environmentally friendly approach to wafer shipping by minimizing the use of foam. FabFit 300 can be reused up to four times with a reuse kit. Other options include radio frequency tags, bar codes, and color coding, all of which can be used for better tracking of the FOSB in the fab. Entegris Inc., Chaska, MN; ph 952/448-8193, e-mail [email protected], www.entegris.com.
In-line batch sputtering
|
The 844 NT is a cost-effective in-line batch sputtering system designed to process high-density interconnect, 300mm wafers, and FPDs. Based on this company's production-proven platform, it offers manufacturers a usable area of 26.5 x 26.5 in. with a compact footprint. The tool can hold four 300mm wafers or multiple smaller wafers; it features two processing palettes, allowing instantaneous change of wafer sizes and the ability to process both the front and backside of the wafer. The 844 NT is also equipped with a high-vacuum loadlock with a pre-heat substrate, giving the tool its high throughput. Targets are available in a variety of high-efficiency formats. KDF Electronic and Vacuum, Orangeburg, NY; ph 914/398-2000, e-mail [email protected],www.kdf.com.
CMP slurry component integrity
|
The AR200 Auto Replenisher System is designed to meet the stringent process controls associated with the CMP process, improving polishing consistency, and ultimately yield. It automatically monitors and controls hydrogen peroxide (H2O2) concentration in CMP slurries within the manufacturer's specifications. H2O2 naturally decays over time, and although this decay is relatively slow, it can affect process performance. The system uses automatic titration to determine the H2O2 assay in the chemical supply line to the fab; it features a high-precision metering pump to add concentrated H2O2 to the slurry daytank. BOC Edwards, Wilmington, MA; ph 800/848-9800 ext 2520, fax 978/658-7969, www.bocedwards.com.
Cantilever and soft-land furnace loaders
|
These full cantilever and soft-land horizontal diffusion/LPCVD furnace loaders provide cost-effective, clean, automatic loading of all wafer sizes in new or existing semiconductor processing furnaces. The loaders are available with quartz or SIC paddles. Both cantilever and soft-land versions feature common mechanical motion platforms, electronics, and controls. All loader components are designed and tested to Class 10 (or better) cleanroom standards, and all loaders are compatible with vertical or horizontal laminar flow load stations. MRL Industries, Sonora, CA; ph 209/533-1990, fax 209/533-4079, e-mail [email protected].
CSP and BGA singulation
|
The NSX-250DS system, for CSP and BGA singulation, provides enhanced flexibility through its ability to use either a single blade or gang blade configuration. It can singulate a substrate up to 250mm square or a 300 x 250mm strip. The Windows-based user interface provides unlimited CNC control power. Footprint is 142 x 127cm (56 x 50 in.). Key applications include CSP strips, BGA panels, wafer and wafer-level devices, ceramics (fired and green), glass, and advanced semiconductors. The system can be used as semi-automatic or equipped for full automatic production with cassette load/unload, JEDEC tray, tape reel, or bulk output. The NSX-250DS is suitable for use with such materials as silicon, gallium arsenide, and copper, and on CSPs, BGAs, PCBs, and discrete components. These are cut using abrasive blades or carbide cutting/slicing/dicing saws. Blade sizes and types include 50-150mm diamond and CBN or solid carbide saws and gang quality blades for multiwheel, high UPH singulation. Alignment and/or inspections are performed using MTIvision NT II, a fully integrated system that allows for seamless operations and unlimited vision commands. Manufacturing Technology Inc., Ventura, CA; ph 805/644-9681, fax 805/644-3541, e-mail [email protected], www.mtionline.com.
Application modules for electrical characterization
|
These new application modules, for electrical characterization of a wide range of materials, easily attach to the Dimension 3100 and 5000 systems and allow the performance of scanning spreading resistance microscopy (SSRM), tunneling AFM (TUNA), and improved scanning capacitance microscopy (SCM). SCM and SSRM provide ultrahigh-resolution 2-D mapping of semiconductor carriers/dopants, and TUNA provides measurement of next-generation thin films for thickness variations and film integrity. The modules are interchangeable in minutes, so it is easy to switch from one application to another. Digital Instruments, Veeco Metrology Group, Santa Barbara, CA; ph 805/967-2700 ext 295, fax 805/967-7717, e-mail [email protected].
Die attach system
The Swissline 9002 HP die attach system has an indexing system that can handle flex substrates without carriers or boats. This solution combines smooth handling with minimum space requirements for transportation of the substrates. An in-line pre-curing solution secures the placement position before transferring the flex substrates to the magazine or the in-line snap cure oven, an arrangement that is fully matrix-capable without slowing the machine. The system supports different process stations (dispense, bond, post-bond) with heated chucks. The actual application (high-viscosity dispense, film bonding, etc.) and materials finally define which process stations are heated. Alphasem AG, Berg, Switzerland; ph 41/71-637-6363, fax 41/71-637-6364, e-mail [email protected], www.alphasem.com.
Product News
Process Equipment
Photoresist removal
This enhanced version of the PEP 3510A system, the PEP Plus 3510, is a dual-chamber photoresist removal system that features a new, high-powered microwave generator that improves resist removal rates, and sophisticated digital calibration that extends magnetron lifetime and lowers cost of ownership. The improved removal rates, combined with a faster robot, increase throughput by 20% compared with the 3510A. For SMIF customers, the option of a SMIF-ARM provides an additional throughput advantage. GaSonics International Corp., San Jose, CA; ph 408/570-7633, www.gasonics.com.
CMP system
|
EPO-222S is a 300mm, high-throughput, dry in/dry out integrated clean CMP system. It gives a 40% increase in throughput and substantially reduced footprint compared with its predecessor, the EPO-222T, a two-head, two-platen design that allows for parallel and serial operation. This allows for customized process recipes for each side of the system. Process can be configured for optimal throughput or configured with multiple-step, multiple-slurry processing for optimal process specialization. Either operating mode provides the flexibility of programmable cleaning, including chemically enhanced cleaning. Optical endpoint and ITM systems are included as major features of the EPO-222S. EBARA Technologies, Inc., Sacramento, CA; ph 916/923-7511, fax 916/925-6654, e-mail [email protected].
Lithography
Laser thermal annealing system
The LA-100, an innovative combination of projection optics and laser thermal annealing in a single tool, is designed to break through process barriers associated with advanced contact and junction formation at design rules of <0.13µm. The laser process allows for ultrashallow junctions with above-solid solubility activation, hyper-abrupt junctions that exceed those of a 0.5 KeV implant, 5x reduction in contact resistance and a reduction in poly gate resistance through differential silicide thicknesses in the source/drain and gate, and limited out-diffusion in the halo implant, allowing for precise channel engineering. Ultratech Stepper Inc., San Jose, CA; ph 408/321-8835, fax 408/577-3379, e-mail [email protected].
DUV thick-film photoresist
|
AZ DTF-8 is an advanced deep-UV thick-film positive photoresist that can achieve critical dimensions with aspect ratios of 15:1 in isolated space structures. Isolated spaces can be processed <0.20mm in 3.0mm resist film thicknesses, and <0.35mm in 5.0mm film thicknesses at 0.4 NA with an 88° (or better) sidewall angle using binary photomasks. The new resist is compatible with a wide variety of substrates, including bottom antireflective coatings. It features post-exposure delay stability and very good resistance to environmental contamination, and it is easily stripped, allows for very tight overlay requirements, and performs well in plating applications. AZ Electronic Materials Div., Clariant Corp., Somerville, NJ; ph 908/595-3856, e-mail [email protected], www.azresist.com.
Packaging/Assembly/Test
Advanced packaging system
|
The Quad APS-1H hybrid module advanced packaging system features fast and accurate QuadAlign noncontact alignment chip-on-board die processing. Its pick preview function identifies die orientation and location for high reliability and consistent bondline. The programmable placement force feature protects fragile die and allows the user to adjust force and time for each die type. A large tabletop accepts >108 two-in. waffle packs or custom tooling. Quad Systems Corp., Willow Grove, PA; ph 800/782-3872 or 215/657-6202, fax 215/784-4548, e-mail [email protected].
Electrical inspection of production wafers
The SSM 610 Gate Dielectric Quality Control System is designed for the characterization, measurement, and control of both sub-5nm silicon dioxide and advanced highk gate dielectrics. It conducts all the electrical testing needed for advanced gate fabrication, including: measurement of electrical, physical, and equivalent oxide thickness; determination of dielectric quality (integrity and reliability); early indication of gate performance, including switching voltage and speed; level of metallic and other contaminants in both dielectric and silicon substrate; and distribution of dopants within diffused and implanted layers. Solid State Measurements Inc., Pittsburgh, PA; ph 412/787-0620, e-mail [email protected], www.ssm-inc.com.
Contamination Control
CMP slurry capsule
|
The AlpHA filter capsule is designed for optimized oxide and metal slurry filtration at the point of dispense for CMP tools. A gradient density polypropylene medium removes wafer-damaging particles and agglomerates without disturbing the distribution of the polishing slurry. The self-contained capsules have a high dirt loading capacity and are conveniently disposable. They are available with absolute retention ratings from 0.45 to 70mm. Meissner Filtration Products Inc., Camarillo, CA; ph 805/388-9911, fax 805/388-5948.
Photoresist purity
|
Because of the increasing importance of lithographic resolution and the need for high-purity solvents, the IonKleen filter has been specifically designed for the removal of metal ions from solvents and solvent resin mixtures. It is highly suitable for use in the raw materials used in the production of photoresists and for ultrahigh-purity solvent applications. Pall Corp., Port Washington, NY; ph 516/484-3600, fax 516/484-3637, www.pall.com.
Ultrahigh-resolution particle sizer
The Sysmex SD-2000 delivers high-performance particle size analysis from 1 to 120mm by combining electrozone sensing with hydrodynamic focusing and sheath flow technology. Hydrodynamic focusing with sheath flow directs particles through the center of the measurement aperture to ensure that uniform electrical signals are produced for the generation of counting and sizing data. Malvern Instruments Inc., Southborough, MA; ph 508/480-0200 ext 222, e-mail [email protected], www.malvern.co.uk.
Robotics/Automation
Loadlock wafer-transport system
The MASCOT loadlock system facilitates development and testing on 300mm chambers and modules. It allows users to load wafers manually into a MESC-standard process module without breaking vacuum. A magnetically driven dynamic end effector picks up and delivers wafers to a process module, independent of the mechanical motions available to the process. The dynamic end effector provides true vertical motion that is orthogonal to the transfer direction, allowing the user to pick up or transfer a wafer in process chambers of modules that do not have vertical motion capabilities. MASCOT is also available in 200mm and 150mm versions. Surface/Interface Inc., Sunnyvale, CA; ph 408/732-7111, fax 408/732-7191, e-mail [email protected], www.surfaceinterface.com.
Metrology
SEM sample preparation for copper
|
This new process for preparing scanning electron microscope (SEM) samples for copper-based ICs, the MC200 system, is based on this company's Microcleaving technology and offers process engineers and failure analysts a fast and reliable alternative to conventional polishing techniques and the problems inherent in attempting to polish materials as soft as copper. Microcleaving typically reduces cross-section preparation time from hours to ~15 minutes. The Microcleaving technique offers precise control not only of the placement of the cleaving plane, to allow cross-sectioning of specifically targeted sub-mm structures, but also of all other parameters of the cleaving process. Critical to success with copper is the use of carefully controlled cryo cooling (LN2) to reduce the temperature of the copper layers without freezing the silicon substrates. SELA USA Inc., Sunnyvale, CA; ph 408/736-3700, e-mail [email protected], www.sela.com.
Scanning electron microscope
The eV300 scanning electron microscope (SEM) review system has new automatic defect classification capabilities and incorporates the IMPACT XP SEM software enhancement. This gives fast, consistent, and accurate review and classification of yield-killing defects across all device layers that are hard to capture using optical inspection and review methods especially important for devices with
Vacuum Equipment
Compact leak detector
|
The ASM 180 TD+ compact leak detector features autocalibration, 6 mbar maximum admissible inlet test pressure, 4.4 l/s maximum helium pumping speed at inlet port, 5.10-12 mbar l/s helium leak rate detection capability, and 25 m3/h (15 cfm) built-in roughing capacity. Alcatel Vacuum Products Inc., Hingham, MA; ph 781/331-4200, fax 781/331-4230, e-mail inquiry@ avp.alcatel.com, www.alcatel.com/ vacuum.
Vacuum gauges
|
Granville-Phillips Series 275 Mini-Convectron vacuum gauge modules are now available with DeviceNet interface. Industry-standard Convectron gauges measure pressures from atmosphere to 1 milliTorr. Mini-Convectron modules are a convenient, low-cost solution for vacuum measurements where front panel controls are not needed and the vacuum system is controlled by computer. Helix Technology Corp., Mansfield, MA; ph 508/337-5290 or 303/443-7600, e-mail [email protected].
Chemicals/Chemical Handling
In-line valve
|
The Furon 1" in-line valve, for use in semiconductor chemical delivery, is suitable for applications that demand high purity coupled with high Cv flow factor. It has a Cv flow factor of 15 and is readily available with on/off pneumatic or manual multiturn actuation. Operating at 22°C at up to 100 psig forward and back pressure, the valve can handle fluid temperatures ranging from 5-100°C. It is assembled, tested, and double-bagged in a Class 100 cleanroom. Saint-Gobain Performance Plastics, Wayne, NJ; ph 714/630-6068, fax 714/630-6044, www.furon-asti.com.
Gases/Gas Handling
Multipoint hazardous gas monitoring
|
The MDS-8 multipoint monitoring system detects and measures toxic and corrosive gases and is designed to keep up to eight individual locations under continuous surveillance. It provides centralized monitoring for among other hazardous gases ammonia, arsine, chlorine, fluorine, HCl, HF, and phosphine. The system consists of a centralized MDS-8 controller linked to individual gas detectors/transmitters. Concentration from each connected detector is displayed in either bargraph or numerical format on an easy-to-read local LCD and is also output as an RS-485 digital signal. Gas concentrations that exceed user-programmed alarm levels are indicated locally and may also be output to auxiliary alarm/control systems via dedicated alarm relays. Loss of sensor signals and other instrument error conditions activate a local channel status LED and a common system fault relay. The MDS-8 measures 5-5/8 x5-5/8 x 5-1/2 in. (H, W, D) and operates on 24 VDC power; it can be daisy-chained with other MDS-8 controllers and may be located as far as 3280 ft from the central controller. PureAire Monitoring Systems Inc., Rolling Meadows, IL; ph 847/788-8000, fax 847/788-8080, www.pureairemonitoring.com.
Thin film pressure transducer
The SolidSense pressure transducer features patented thin film sensor technology and is designed to provide the highest stability and reliability for ultrahigh-purity gas delivery applications. It features electropolished 316L VIM/VAR and Hastelloy wetted surfaces in flow-through and single-ended configurations. A wide choice of pressure fittings and electrical outputs is available. Temperature compensation is precisely maintained over a wide temperature range (0-130°F). Ease of installation and field-replaceable electronics help to maximize equipment uptime. SolidSense is manufactured in an ISO9001 facility and carries CE marking and FM approval. Millipore Microelectronics Gas Process Div., Allen, TX; e-mail [email protected], www.millipore.com.
Software
Fab process management and analysis
|
These browser-based data-mining tools, Graphics Utility, are designed for use with this company's data-collection, mining, and reporting software systems. Data captured during the semiconductor manufacturing process can be viewed and accessed in graphical format, in real time, by using a browser and accessing the data at a specific location through a company's intranet. Rather than having to run a separate program on each PC for viewing graphical data, the Internet browser on the PC can go to a dedicated intranet address and use the Graphics Utility tools from there. Version 5 software monitors any tool in the semiconductor fabrication process, provides detailed charts and reports of what is occurring at each step in the process, and notifies personnel when business rules have been violated. Included in the software are tools for collecting, analyzing, and viewing equipment data. Jon Goldman Assoc. Inc., Orange, CA; ph 714/283-5889, fax 714/283-2884, e-mail [email protected], www.jga-inc.com.