Issue



Product News


05/01/2000







Die attach system

Click here to enlarge image

The Swissline 9002 HP die attach system has an indexing system that can handle flex substrates without carriers or boats. This solution combines smooth handling with minimum space requirements for transportation of the substrates. An in-line pre-curing solution secures the placement position before transferring the flex substrates to the magazine or the in-line snap cure oven, an arrangement that is fully matrix-capable without slowing the machine. The system supports different process stations (dispense, bond, post-bond) with heated chucks. The actual application (high-viscosity dispense, film bonding, etc.) and materials finally define which process stations are heated. Alphasem AG, Berg, Switzerland; ph 41/71-637-6363, fax 41/71-637-6364, e-mail [email protected], www.alphasem.com.

Spin processor for 300mm

Click here to enlarge image

Spin-Processor 303 NT is the latest generation of single-wafer surface preparation equipment, meeting Semi's 300mm automation and communication standards. It is a single-task, multilevel wet processing tool with fully automatic cassette-to-cassette handling. The system includes a chemical dispense system to provide up to three chemicals at the required quantity and temperature. The main applications for the 303 NT are backside film removal and clean, wafer reclaim, post-etch polymer removal, oxide etching, and stress relief and wafer thinning. SEZ AG, Villach, Austria; ph 43/4242-2040, fax 43/4242-20421, e-mail [email protected], www.sez.com; in the US, ph 602/437-5050, e-mail [email protected].

Automated mask aligner

Click here to enlarge image

Model 5000 fully automated contact/proximity mask aligner provides precise alignment and lithography for conventional contact/proximity, wafer bumping, backside alignment, and photosensitive polyimide processes. It features advanced electronic circuitry, Windows NT, a user-friendly interface, and auto-alignment capability. With the auto-align option, overlay accuracy is better than ±5µm, and the dual-focus option can compensate for resist thicknesses >100µm. The backside alignment option uses four CCTV cameras to capture images simultaneously from both front- and backside alignment targets. With automatic software calibration, this provides the most accurate alignment available. The standard Model 5000 exposes wafers up to 200mm and includes robotics handling, UV light intensity control and feedback and the advanced auto-alignment system. For development purposes, the system can be configured without the robot or auto-alignment options, which can be added as modules subsequently. Printing resolution is at least 5µm with a 20µm proximity gap or sub-µm in the contact mode. A 300mm-wafer version of the Model 5000 is available. OAI, Milpitas, CA; ph 408/263-4944 or 800/843-8259, fax 408/263-6389, e-mail [email protected], www.oainet.com.

Aqueous ash residue remover

Click here to enlarge image

REZI-38 aqueous ash residue remover effectively cleans a wide variety of metal-organic residues from substrates, including sensitive metal alloys such as copper and low-k dielectrics. It is effective at room temperature (as low as 23°C) and up to 45°C, with a typical processing time of 5-10 min. This expedites processing and reduces the downtime needed to heat the bath. REZI-38 can be used in automatic spray processing equipment or in a simple, one-bath process. Because it is 80% aqueous, no special rinse is required, and it contains no SARA 313 specified components or hazardous air pollutants. Concentration of volatile organic compounds is <5%, and its high water content allows disposal of REZI-38 down most acid drains. J.T. Baker, Phillipsburg, NJ; ph 908/859-2151 ext 9204 or 800/582-2537, fax 908/859-9318, www.jtbaker.com.

Chemicals/chemical handling

Photoresist stripper

The Microstrip 6000 series photoresist strippers and post-etch residue cleaners are designed specifically for copper low-k dielectric dual damascene interconnect architectures. They completely remove resist and/or post-etch residues on a wide range of low-k dielectric and barrier layer materials with no detrimental effects. Microstrip 6020, based on this company's established aqueous cleaner technology, offers a safe, completely water-based platform with industrial waste-water stream disposability, no intermediate rinse steps, and reduced process time. Arch Chemicals, Norwalk, CT; ph 203/229-2900, www.archmicro.com.

Metrology

Thin-film analyzers

Click here to enlarge image

Suitable for the semiconductor, FPD, and magnetic and optical storage markets, these two thin-film systems for analysis of patterned wafers, Analyzer 3000 and Analyzer 1700, are designed for rapid characterization of complex film structures without the need for prior knowledge of the thickness or optical constants of the film. The systems give chipmakers the ability to fully analyze and control critical films, including low- and high-k dielectrics, amorphous and poly Si, SiON ARC, TiN, SOI, SOD, barrier layers for Cu such as TaN, as well as gate oxide/nitride. Even cases with a naturally occurring subsurface texture such as OPO can be completely characterized, reducing the need for monitor wafers. The optics of both systems provide state-of-the-art signal-to-noise ratio measurements over the entire DUV to near infrared (190-1000nm) spectral range—essential for increasingly fine geometries. n&k Technology Inc., Santa Clara, CA; ph 408/982-0840, e-mail [email protected], www.nandk.com.

Laser nano sensor

This flexible, lightweight noncontact laser nano sensor uses auto-focus principles with a resolution as fine as 5nm, a frequency response rate up to 300 kHz, and an equally fast measurement speed. Suitable for quantifying surface roughness on highly reflective materials such as silicon wafers, the LNS has a measuring range from 8.8-300µm, with an accuracy lower than 0.5% of the measuring range. The sensor's small size (64mm length, 20mm diameter) and weight of 100 g, as well as a separate control module, make it highly suitable for small work envelopes. Additional applications for the LNS include precision positioning, quality inspection, vibration measurement, and differential thickness measuring for precision switching of any surface. Four standard models of the LNS are available, with standoff distances ranging from 2.3 to 26mm. Depending on the target, accuracy ranges from 0.022 to 0.75nm, and the sensor operates in temperatures from 0 to 50°C. LMI Selcom, Southfield, MI; ph 248/355-5900, fax 248/355-3283, e-mail [email protected], www.lmint.com.

Film thickness measurement

Fourier transform infrared (FTIR) technology has been added to the NanoSpec 9000 integrated film thickness measurement system, allowing the tool to be integrated into wafer-processing equipment (e.g., CMP and CVD tools) to measure film thickness, optical constants, and dopant concentrations immediately after deposition. Doped films no longer have to be transferred to stand-alone systems for monitoring. The system determines dopant concentration of boron, phosphorous, fluorine, and carbon in thin films. Measurement applications include BPSG, PSG, BSG, and FSG. The 9000 also measures film thickness, refractive index, and extinction coefficient. Using advanced dispersion measurement algorithms and micro-spot analysis, it can measure multiple-layer film stacks on product wafers and provide complete automation with autofocus, auto-wafer stage, pattern recognition, and auto-wafer pre-alignment. Nanometrics Inc., Sunnyvale, CA; ph 408/746-1600 ext 101, fax 408/720-0196, e-mail [email protected], www.nanometrics.com.

Software

Advanced image processor

Click here to enlarge image

Essential for failure analysis, component inspection, assembly verification, and quality control of components and boards, the AIP II software package is designed to integrate inspection and analysis of BGAs, flip chips, mBGAs, and other high-density packages. Enhanced features of AIP II include: a complete library of measurements, such as ball diameter, moment ratio, elliptical pattern, solder density ranges, threshold library, and auto-void count; semiconductor device analysis, including die attach voiding and wire sweep; and colorized 3-D plots representing solder contour for enhanced visual analysis. AIP II provides easy analysis of real-time and previously captured x-ray images obtained from manual and semi-automated inspection systems. The enhanced, user-inspired features include automated 2-D and 3-D plots, point-and-click measurement of distances between solder balls, proper construction of chips and boards, connections of J-leads, and component placement. Nicolet Imaging Systems, San Diego, CA; ph 858/635-8600, fax 858/695-9902, e-mail [email protected], www.nicimg.com.

Wafer visualization software

Device Toolbox is an "off-line" software application that helps engineers visualize and quantify changes in wafer shape, thickness, and flatness. Using both measured and differential (before-after) data, it allows users to develop, diagnose, and maintain thermal, photolithography, backgrind, and backside etch processes; it runs independently of ADE tools. The thickness and shape data created by any ADE, ASC or ASC-2000 measurement system is transformed into one-, two-, or three-dimensional maps of "as-measured" or differential information for one wafer or an entire lot. ADE Corp., Westwood, MA; ph 617/831-8089, e-mail [email protected], www.ade.com.

Automated test tool

The GPT300 is an automated test tool for verification of parallel communication as outlined in Semi E84. The specified parallel communications are used in 300mm fabs for carrier (FOUP) handoff between automated material-handling systems (AMHS) and production equipment. The GPT300 provides standard E84 signals, cabling, a Hokuyo optical data transmission device, and proprietary test software. It can simulate either equipment or AMHS and allows for verification of either normal operation or error recovery. GW Associates Inc., Sunnyvale, CA; ph 408/745-1844 ext 103, e-mail [email protected], www.gwainc.com.

Flat panel displays

Tacker and laminator systems

The Model 3200 Tacker automates the precision application of anisotropic conductive film (ACF) material to the substrate. It automatically rolls out the required length of material from a continuous reel, trims the ACF from the backing strip, applies it to the panel, and tacks it in place using a low-cost metal hotbar. The 3200 can easily be equipped with fixtures to handle a wide range of panel sizes and can provide typical cycle times of 2-5 sec/panel. Model 6300 Laminator provides an automated method for application of overlays to the screen, such as polarizing film. Available in 20, 40 and 60-in. sizes, it is fully programmable, allowing for custom adjustment of roller positioning, feed rates, and pressure settings. These two new systems, combined with the field-proven Model 6800 bonding system, provide a comprehensive, integrated solution for LCD manufacturing. MicroJoin, Poway, CA; ph 858/877-2100, fax 858/877-2120, e-mail [email protected], www.microjoin.com.

packaging/assembly/test

Flux application platform

Click here to enlarge image

The Century Flux Process platform provides automated application of rosin and no-clean fluxes for a wide range of semiconductor and board assembly operations. Applications include ball grid arrays, multichip modules, ball attach, direct chip attach, and flip chip on board. The new platform integrates the field-proven Century series robotics with a choice of two dispensing technologies: DJ-2200 DispenseJet noncontact flux jetting, and coaxial flux jetting, covering production requirements from high volume, low mix to low volume, high mix. The Century provides a consistent, accurate application of flux; its dispense area measures 508 x 376mm and features an absolute accuracy of ±0.13mm over the entire work area. It is available in batch or fully automatic, in-line configurations and has a footprint of 914 x 1041mm. The standard conveyorized platform features SMEMA-compliant, adjustable-width conveyors (with conveyor option), three-axis motion control, teach camera, precision fluid control, and a vacuum purge station to ensure a high degree of accuracy through the dispensing cycle. Asymtek, Carlsbad, CA; ph 800/279-6835, e-mail [email protected], www.asymtek.com.

contamination control

Wall-mounted gas purifiers

The Saturn Series gas purifiers are self-contained, wall-mounted units that incorporate particle filters to 0.003mm, electro-pneumatic inlet/outlet valves and electronics for auto-alarm and shutdown. They allow impurities to be removed to sub-ppb levels in most process gases, including nitrogen, argon, hydrogen, hydrides, and most corrosive gases. NuPure Corp., Manotick, Canada; ph 613/692-5349, fax 613/692-1872, e-mail [email protected].

Process Equipment

Post-CMP cleaner

DamasClean is designed to serve as a stand-alone tool for 200mm wafer cleaning and can be integrated into CMP cluster tools as an OEM product. It features multiple cleaning mechanisms, modular architecture, an industrial-standard robot platform, and a flexible process flow. Wafers are processed in a horizontal orientation for distinct treatment of front- and backsides and for accelerated wafer handling. DamasClean gives the user the choice of one-cassette serial processing, or two-cassette parallel processing in which two post-CMP cleaners are integrated into one tool. STEAG Electronic Systems Inc., Tempe, AZ; ph 480/777-0021, fax 480/777-0025, e-mail [email protected].

CMP polishing pads

The Epic-W1 pad, for tungsten plug and damascene applications, is manufactured using a new approach that represents a breakthrough in performance in terms of CMP stability, planarity, and cost of ownership. Using a proprietary urethane fabrication process in which the base urethane is created in a micro-scale process, the pads eliminate inconsistencies that are found in standard pads. This highly consistent and pure urethane is then molded to form a single pad thickness. Cabot MMD, Aurora, IL; ph 630/375-5541, fax 630/375-5596, e-mail [email protected].

Metal sputter ion beam source

This new process has a unique energetic beam capability, as well as a large area and high-throughput operation. The OMNIPOTENT system offers industrial-scale, high-energy thin-film deposition. Raman spectra of amorphous diamond films show high sp3 content, i.e., more diamond-like film properties (hardness >40 GPa). The beam source's advantage lies in its efficient, large-area (>8 in. diameter), highly controlled energetic deposition process. Skion Corp., Hoboken, NJ; ph 201/963-5450, fax 201/963-5449, e-mail [email protected].

gases/gas handling

High-flow, compact regulator

Designed for the semiconductor industry and offering the smallest high-flow design available, HF series regulators are suitable for use in valve manifold boxes, gas interface boxes, and tools—or any application where space is at a premium. The regulator's pressure-sensing assembly controls gas delivery pressure. The assembly is charged with argon to set pressure at precisely the desired level. The multiple, welded-diaphragm design of the HF regulator offers several other advantages: multiple diaphragms are more flexible than a single diaphragm and permit higher system flow rates at lower pressures; set-presure models are factory-charged to pre-selected input and output delivery pressures; and there is no adjustment knob, so installation is simple and operation is tamper-free. Swagelok, Cleveland, OH; ph 440/349-5934, fax 440/349-5806, e-mail [email protected], www.swagelok.com.

Exhaust contaminant abatement

Click here to enlarge image

These XGC point-of-use scrubbers, the MYSTAIRE series, are high-efficiency, low-energy scrubbers designed for effluent gas and particulate abatement in R&D or pilot-scale fab lines. Three abatement stages in the series—hydrolysis chamber, eductor venturi, and structured packing—provide thorough scrubbing of highly toxic and reactive gases from process tool exhaust streams. Proprietary WATERWEB mesh packing, which consists of thousands of microventuri passages, gives maximum scrubbing efficiency. The scrubbers feature a blowerless design, for safe use of potentially dangerous carrier gases, and an inlet humidity arrestor that prevents backstreaming humidity into vacuum pump forelines. A small footprint, clear PVC construction, flanged sections, and drain and sample ports minimize installation and maintenance time. Typical applications include CVD, PECVD, plasma etch, and RIE. Misonix Inc., Farmingdale, NY; ph 800/645-9846 or 631/694-9555, fax 631/694-9412, e-mail [email protected], www.misonix.com.

Mass flow controller

Click here to enlarge image

Mass-Trak II, which has a stainless steel flow body and a cleanable sensor, controls the flow of corrosive and toxic gases at a low COO. Its large internal diameter sensor makes it virtually clog-free, and access ports at both ends of the sensor facilitate cleaning. An on-board display and local setpoint potentiometer allow for adjustment of the command signal from the face of the instrument enclosure and eliminate the need for external readout/setpoint electronics. A single-sided 24 VDC power input also eliminates the need for expensive dual-polarity power supplies. Also available is a switch-selectable remote setpoint capability from 4-20 mA, 0-5, or 0-10 VDC command signal, which can easily be interaced with a process control system or workstation. Unlike other mass flow controllers, Mass-Trak II provides two simultaneous output signals. Its control valve features include both a purge mode and a valve close command from an external contact, a 1-sec response to setoint changes, ± 1% of full scale accuracy, and ±0.15% of full scale repeatability. Sierra Instruments Inc., Monterey, CA; ph 800/866-0200, fax 831/373-4402, e-mail [email protected], www.sierrainstruments.com.

materials

Fire-safe fluorinated thermoplastic

Halar 901 ECTFE sheet material, which has secured an FMRC 4910 listing, is used in the fabrication of wet process tools, CMP equipment, and other cleanroom equipment. In addition to its fire-safety compliance, Halar 901 is an ultrapure material with greater process compatibility than other fire-safe plastics. It is available in 4 x 8 ft sheet sizes and gauges from 1/8 to 2 in. thick. Compression Polymers Group, Moosic, PA; ph 570/346-8797 ext 239, fax 570/346-5080, e-mail [email protected], www.cpg-vycom.com.

hardware/accessories

Recirculating dryer

Based on existing high-purity recirculating dryer designs, the MDS-250S Cocoon gives stain-free, contaminant-free nitrogen drying. The absence of moving parts in the drying zone eliminates particulate contamination and the risk of device damage. Its compact size is well suited to stand-alone wafer rinsing/drying applications, or as a final stage to any chemical process. Cocoon can handle any wafer size up to 200mm without hardware modifications; a 300mm design is also available. Interlab Inc., Danbury, CT; ph 203/748-5624, fax 203/794-0209, e-mail [email protected], www.interlab-inc.com.

Hollow shaft gear component

Click here to enlarge image

The Rotary Vector-C (RV-C) hollow shaft gear component has a hollow middle, allowing vacuum lines, wiring harnesses, concentric shafting, and cooling lines to be run through its center. Hole sizes range from 31mm to 138mm. The RV-C also has specially designed, built-in output bearings that support large thrust and overhung loads. It is one of three power transmission configurations used in this company's RV drives, which are precision, heavy-duty drives offering high-ratio gear reduction in a compact design. RV drives offer very high torsional rigidity and overload torque capacity—at 500% of rated torque. Harmonic Drive Technologies, Peabody, MA; ph 800/921-3332, e-mail [email protected], www.harmonic-drive.com.

lithography

Bare reticle stocker with particle detection

Click here to enlarge image

The RS1100/6 is a bare reticle stocker with the Particle Detection System (PDS) incorporated into it. After finishing the stepping process, a reticle is inserted into the transportation box (or cassette). The operator then inserts the box into the reticle stocker. The box is automatically opened, the bare reticle is identified by barcode reading, and depending on the setting, it can be either stored in the shelves or inserted into the PDS to start the particle count. When the count is too high, there is a warning at the operator interface, and the operator can then retrieve the reticle and re-insert it after cleaning to ensure that all reticles in the stocker are 100% particle-free. One reticle stocker can hold up to 1400 bare reticles, with already inspected photomasks, on an area of 2.1 m2. The PDS, a cost-effective tool for automatic inspection of photomasks, is specifically designed for simultaneous particle detection on the pellicle, as well as the glass side. The system is fully integrated into the reticle stocker and is built for Class 1 cleanroom operation. Data are displayed on the operator interface and/or forwarded to the Host via SECS/GEM. Users can program the threshold level for detection of particles on the photomasks. Tec-Sem AG, Tagerwilen, Switzerland; ph 41/71-666-7268, fax 41/71-666-7211, e-mail [email protected], www.tec-sem.com.