Issue



New Products


10/01/2001







Click here to enlarge image

300mm wafer marking
WaferMark FOUP is a 300mm wafer-marking system that uses laser technology to place permanent, highly readable marks on wafers, allowing traceability throughout the manufacturing process. It is compatible with industry-standard FOUP wafer-carrying systems and is available in versions that support either two or four 25-wafer FOUPs. The system meets current Semi cleanroom standards for reduced airborne particulate matter during 300mm production. WaferMark FOUP uses this company's field-proven SuperSoftMark marking technology, which produces highly durable marks that survive the harsh fabrication process. It features an ultrastable, diode-pumped laser that was specifically designed for marking wafers, mark verification and reader options, automated system data logging functions, and the ability to mark Semi, OCR, BC412, 2-D Matrix, and other font formats. GSI Lumonics Inc., Northville, MI; ph 248/449-8989 ext 2664, [email protected], www.gsilumonics.com.

Click here to enlarge image

Multisensor e-diagnostics
Designed to improve tool uptime and productivity, eFabGuard Global Support Network provides e-diagnostics capability for the process tool and a variety of in situ sensors through real-time, remote monitoring of the FabGuard Sensor Integration and Analysis system. This expansion of FabGuard remotely monitors sensor performance and semiconductor tool process parameters and allows remote adjustment of FabGuard process analysis recipes. This company provides 24/7 expertise for eFabGuard via a highly secure Virtual Private Network, ensuring confidentiality of proprietary process information. Through this high-performance connectivity, semiconductor and vacuum specialists can remotely access equipment for immediate visibility into tool or process problems. On-site process and equipment engineers obtain fast, web-enabled assistance with early detection and classification of tool problems, scheduling routine maintenance more efficiently, and developing new applications. INFICON, Syracuse, NY; ph 315/434-1121, [email protected], www.inficon.com.

Click here to enlarge image

Dual-stage ArF litho system
The AT:1100 is a high-productivity, dual-stage ArF lithography system for 300mm wafer processing with 100nm resolution. Developed for volume production applications, it is a 193nm wavelength system with the highest available numerical aperture ArF lens (NA = 0.75). The system builds upon the 300mm TWINSCAN dual-stage platform and incorporates a second-generation ArF, 0.75NA Carl Zeiss StarLith 1100 lens. This has improved aberration control that reduces influence on critical lithography structures, enhancing imaging performance. The AT:1100 achieves a throughput of 93 wafers/hr at a dose of 20mJ/cm. By separating the align and expose operations, more extensive and accurate alignment and wafer surface height mapping of the entire 300mm wafer can be performed without affecting throughput. This increase in metrology accuracy is essential for delivering the 100nm CD control and <20nm overlay across the wafer at full throughput. The AT:1100 introduces new 4kHz laser technology, contributing to its high productivity. It is designed for seamless mix-and-match operation with the TWINSCAN family, including the AT:750 248nm DUV system and the AT:400 i-line system. ASML, Veldhoven, Netherlands; ph 31/40-268-3938 or 480/383-4475 (in US), www.asml.com.

Click here to enlarge image

300mm wafer surface preparation
Three new tools are available for surface preparation of 300mm wafers. The Spin-Processor 323 consists of two 4-level chambers using three chemistries for single-sided processing. The 323 platform works with this company's current applications, including backside, bevel, edge and frontside exclusion zone copper decontamination, preclean for advanced lithography applications, and frontside film removal applications. Spin-Processor 4300 has four 3-level chambers and uses two chemistries for frontside processing. It offers a cost-effective throughput to footprint ratio and investment to throughput ratio for a variety of applications, including polymer removal, diluted HF etching, highly selective oxide removal, and nonselective oxide nitride removal. Spin-Processor 8300 offers critical FEOL pre-cleaning applications for 300mm. It has four 3-level process chambers and processes both the front and backside of the wafer simultaneously. The 8300 eliminates redeposition and cross-contamination because chemicals are spun off the wafer surface and handled only in the wafer exclusion zone. SEZ AG, Villach, Austria; ph 43/4242-204-455, [email protected], www.sez.com.

Compact solvent processor
Model 300 ML executes a wide range of solvent processes — solvent cleaning, developing, metal lift-off, resist stripping, polymer removal, flux removal — with optimum precision while maintaining strict safety standards. Designed for solvent processing on 300mm wafers and square glass, the system performs automatic brush scrub, high-pressure scrub, megasonic cleaning or a combination of processes, depending on user requirements. The 300 ML can process single or multiple solvents, fresh or recirculated, at ambient or heated temperatures, and at high or low pressure. A solvent separation and recirculation system minimizes chemistry costs and disposal requirements. Contained in a stainless steel 316L frame and with width/depth of 36 x 36 in., the 300 ML requires minimal cleanroom space. It has a Windows user interface for easy operation, with all system parameters controlled via PC for precise control. Solid State Equipment Corp., Horsham, PA; ph 215/328-0700, fax 215/328-9410.

Click here to enlarge image

Vacuum wafer-handling system
The InLine Express, a 300mm vacuum wafer-handling system for etch, strip, and CVD processes, features ExpressLock, a small vacuum loadlock with an internal transfer robot. Positioning an ExpressLock in front of each process protects the process module from the atmosphere while eliminating the need for a central vacuum chamber. This results in a 40% smaller footprint than a standard cluster tool and a mechanical throughput limited to 310 wph in the 2-lock configuration. The InLine Express platform features a control system that is fully compliant with 300mm Semi standards, and it is eDiagnostics-enabled. The system also features an integrated metrology interface that allows a process technology OEM to integrate third-party metrology modules. Brooks Automation Inc., Chelmsford, MA; ph 978/262-5855, [email protected], www.brooks.com

Click here to enlarge image

ATE system combining DC and RF
When used with a suitable test structure layout, Model S400DC/RF ATE system can execute DC and RF tests independently and in parallel, reducing the cost of test on today's advanced wafer processes. The system incorporates the leading vector network analyzer and DC/RF probe card technology, supports "lights out" factory automation, and is compatible with both 200mm and 300mm probers. Three system configurations are available for single DUT testing up to either 20GHz or 40GHz and multiple DUT testing up to 20GHz with a built-in RF switching matrix. Because of the tight integration of DC and RF measurements with a single prober, the S400DC/RF achieves as much as a tenfold increase in throughput compared to rack-and-stack parametric systems using separate DC and RF test operations. Keithley Instruments Inc., Cleveland, OH; ph 440/248-0400, [email protected], www.keithley.com.

Click here to enlarge image

Integrated metrology for 300mm litho
FSI International and KLA-Tencor are cooperating to develop integrated metrology capabilities for the FSI POLARIS 3500 microlithography cluster platform, which was designed for advanced 300mm photoresist processing. The capabilities will initially include monitoring of CD uniformity and coating thickness uniformity, with overlay measurement and macro defect inspection to be added later. The fully integrated lithography/metrology processing system will help chipmakers to address critical challenges at sub-0.13µm nodes. These include meeting increasingly tight lithography process windows that mandate near-zero tolerance for the process excursions that can hinder performance and yield. The POLARIS 3500 microlithography cluster, targeted at 0.13µm design rule applications, is the only 300mm resist processing system built on a proven 200mm platform. It bridges the gap between the two wafer sizes by reducing both tool footprint and the risk associated with the 300mm yield learning curve. FSI International Inc., Chaska, MN; ph 952/448-8066 or 952/448-8936, www.fsi-intl.com.

Click here to enlarge image

High-density metal etch system
The TCP 9600DFM high-density metal etch system provides very good throughput, availability, and performance for sub-150nm applications. Several design advances combine to almost eliminate the cost of consumables. The system opens the process window by improving the resist and oxide etch rate uniformities without compromising CD uniformity. This increases process flexibility for more demanding applications, significantly lowering COO. Productivity enhancements include improvements in etch rates, mean time between clean (a MTBC of 275 RF hrs has been achieved), and mean time between failure (MTBF). Throughput is >45 wafers/hr. Lam Research Corp., Fremont, CA; ph 510/572-1726, [email protected], www.lamrc.com.

Remote diagnostics
The eTechnician software solution is a remote diagnostic package that enables service personnel to monitor, configure and control 200mm and 300mm fab and test equipment from local and remote locations. Its real-time data collection may be used to monitor equipment performance and track routine maintenance cycles. With this technology, service personnel can detect potential failures and collaborate with onsite personnel to correct the problem before it affects production. Remote troubleshooting is radically simplified, as eTechnician provides access to the same screens and data as are available on-site. Excelerate Technologies, Wellesley Hills, MA; ph 781/239-8235, e-mail [email protected], www.exceleratetech.net.

Click here to enlarge image

High-speed die sorter
The innovative high-speed die sorter DS 6000, available with or without a flip option, picks components directly from the wafer, performs an inspection, and sorts them into a carriertape or surf tape. The system is configured for tape with reel-to-reel handling; throughput is up to 6500/hr. The DS 6000 handles good die from the wafer and is equipped with hot or cold sealing stations. The flexibility of the system means that users can use the system as a flip-chip sorter or normal sorter. With the flip-chip unit, bumped die are picked from the wafer and conveyed to carrier and surf tapes. A programmable Z-table, a programmable turning axis (180°), and the programmable die attach force are typical for this option. The sorter makes quality selection through a new vision system. Three optical systems referring to bond-, flip- and place position ensure high accuracy in placement and multiple inspection. Wafer mapping keeps exact statistics on good and bad die and on complete hours of operation. Mühlbauer AG, Roding, Germany; ph 49/9461/952-0, [email protected], or ph 757/873-0424, [email protected].

Temperature sensor
The Dynamically Self-Validating and Self-Correcting Temperature Sensor (SVS) system eliminates drift and improves system reliability to help optimize the process. The SVS sensors are intended for use in critical temperature control applications found within diffusion/oxidation/annealing furnace, CVD, crystal growing, and epitaxy semiconductor applications. The patented SVS technology detects and corrects sensor drift and warns of sensor deterioration before failure. These features improve process control, increasing yield and reducing operating costs. Accutru International, Kingwood, TX; ph 281/358-5600, fax 281/358-5605, www.accutru.com.

Non-PFOS PAGs
This family of non-perfluoro-octane sulfonate (PFOS) photo-acid generators (PAGs), designed for use in 193nm and advanced 248nm photoresists, consists of four perfluoro imide- and perfluoro methide-based anions and numerous cations. The technology offers high acid strength, good solubility in resins and solvents, high purity, high thermal stability, and low volatility. 3M Electronic Materials, St. Paul, MN; ph 800/632-2304, www.3M.com.

Click here to enlarge image

300mm coating system
While other technologies have been able to offer uniform coating for 200mm wafers, Gyrset is the first tool to achieve uniformity on 300mm wafers. Its closed-cover design guarantees the solvent-rich environment that is required to obtain even coverage. The system offers increased yield and reduced cost of ownership by minimizing resist consumption, reducing coating defects, and enhancing the coating of both very thick and very thin films. Gyrset is a closed rotating chamber that encapsulates the wafer during the spin coat process. The chamber creates a solvent-rich environment around the wafer that improves the capability of the coating system. The design allows the flexibility to run 200mm and 300mm wafers in one system without mechanical changeover. Karl Suss, Munich, Germany; ph 49/89-32007-313, www.suss.com or www.suss.de.

Click here to enlarge image

Moisture analyzers
The V Series is a new generation of FTIR moisture analyzers that has been engineered for greatly reduced detection levels and increased durability under vacuum. Enhancements include dual ADC electronics for improved signal-to-noise, a sensitive thermo-electrically cooled InAs detector, electro-polished gas cells to eliminate sample moisture retention, and low internal power dissipation for durability under extended vacuum operation. The V Series analyzers provide real-time measurement of trace moisture in NH3, HCl, HBr, NF3, and other corrosive matrices. Measurement intervals are typically <1 min. The instrument is operated under vacuum to eliminate background atmospheric moisture, thus reducing set-up time to a few hours. Purged versions of the V Series are also available. MIDAC, Irvine, CA; ph 949/660-8558, [email protected], www.midac.com.

Click here to enlarge image

Automated microcleaving system
The MC600 automated microcleaving system is designed for rapid cross-sectioning to produce clean, dry and artifact-free specimens for SEM and TEM characterization. Dedicated software allows automatic mapping and planning of single or multiple targets and features automatic off-loading for immediate inspection. PlanIt software lets system operators plan preparation of multiple target segments offline, from a full or partial wafer for SEM or TEM characterization. This new software allows use of defect coordinates from defect review tools or manual measurements to plan the most efficient set of cleaves for cross-sectioning of all desired defects and features in the wafer. An accurate cleaving map is created and printed with a one-to-one correlation to the wafer or wafer segment. A Scribe & Clean option is an automated sequence of coarse cleaves and final cleave with better than 0.5µm location accuracy, taking 9 min/sample. SELA USA Inc., Upper Yokneam, Israel; [email protected], www.sela.com.

Etch residue removers
The ACT BNE series is specially formulated to remove stubborn etch residues that previously could be removed only by hyroxylamine-containing products. Designed particularly for sub-0.25µm technologies, the fluoride-containing products are buffered, pH-stable strippers that quickly remove etch residues with minimal impact on critical dimensions of vias, metal lines and trenches. The buffer point of the ACT BNE series is selected to minimize attack on oxide substrates. Compatible with many low-k films, the products feature a long bath life, operate at low process temperatures (20-40°C), are completely water-soluble, and require no intermediate rinse. Ashland Specialty Chemical Co., Dublin, OH; ph 614/790-3715, www.ashspec.com.

Click here to enlarge image

Copper delivery system
Copper 2.0 is a suite of polypropylene systems that deliver copper sulfate to — and recover spent material from — the copper deposition\tool. All wetted surfaces in the delivery system are made from high-purity PFA or PVDF materials. For use on pilot or high-production lines, the Copper 2.0 system is easily scalable as production volume increases. The system works with ChemManager, an Internet enabling control system that monitors alarms and alerts, providing proactive diagnostics and services, as well as chemical usage and tracking. Microbar, Sunnyvale, CA; ph 408/541-1040, [email protected], [email protected], www.microbar.com.

Plasma strip and etch/clean
The dual-chamber 200mm BobCat and single-chamber 300mm Panther plasma strip and etch/clean systems have throughput >200 wafers/hr and >100 wafers/hr, respectively. Both platforms feature 24-in. width, single-wafer processing with good COO and serviceability. The systems offer microwave downstream, RIE, or CDE technology. Matrix Integrated Systems, Richmond, CA; ph 510/222-2727, fax 510/243-2288, [email protected].

Click here to enlarge image

In situ metrology system
The ISTMS in situ thickness measurement system uses a thickness decomposition method that relies on rapid, broad spectral analysis using multiple optical sensors positioned above the processed wafer. Multipoint measurements are performed simultaneously. Integrated measurement modules are integrated with the process tool, within the footprint of the process tool but outside the process chamber. In addition to the hardware integration, the measurement data is used directly by the process tool to adjust its control parameters on a wafer-by-wafer basis. ISTMS can measure multiple films stacked on the product wafer, thickness on top of complicated patterns, dense structures without the need for pattern recognition or vision, and measurement on moving (or spinning) wafers. Films measured include oxide, nitride, poly, barrier metals, STI trench, photoresists, and spin-on dielectrics. Tevet Process Control Technologies Ltd., Yoknea'm, Israel; ph 972/4-9591775, fax 972/4-9591776, [email protected].

Click here to enlarge image

Scanning probe/atomic force microscope
NanoScope IV provides enhanced speed, resolution, sensitivity, functionality, and flexibility, and is an enabling tool for nanoscience and nanotechnology. The system advances existing nanotechnology capabilities to "see," sense and manipulate at the atomic and molecular scales. As the next-generation scanning probe/atomic force microscope system, the NanoScope IV improves conventional SPM/AFM systems in every important aspect of operation, including: up to 10x faster scanning; up to 100x times higher lateral data resolution on large scans; up to 10x higher sensitivity; improved accuracy of positioning; and expanded customizable measurement and analysis capabilities. These capabilities not only improve the productivity and results of surface analyses, but also allow manipulation of materials and specimens at the nano-scale. Digital Instruments/Veeco Metrology Group, Santa Barbara, CA; ph 805/967-2700 ext 2295, [email protected], www.veeco.com, or www.di.com.

Click here to enlarge image

Integrated robotics for thermal system
Integrated robotics have been added to the SRO-704 thermal process system, making for a completely automatic cassette-to-cassette process. This addition, using Genmark robotics, provides a void-free product with less labor. The system features vacuum processing and overpressure to 30psi; temperature ramp speed >20°C/sec; temperature control <±0.5°C; processing of a maximum six gases; maximum temperature of 450°; a hydrogen safety system; wafer solder bump reflow; annealing and alloying; fluxless soldering; flat pack encapsulation; and Au Ge alloy Ga As processes. ATV Technology Inc., North Reading, MA; ph 978/664-1948.

Click here to enlarge image

Epitaxial thickness monitor
Stratus, an epitaxial thickness monitor, has an automatic wafer measuring system that is designed to create a new level of integration of FT-IR tools. Adding to the strengths of the QS Series product line, Stratus measures epi thickness using a nondestructive FT-IR technique utilizing proven optical technology, modern robotic wafer handling, and state-of-the-art GEM/SECS and Ethernet communications — all in a contamination-free, clean environment. Stratus provides easy recipe transfer and backward tool matching with older models, while also providing single-recipe processing for all types of epi thickness measurements, including measurement of silicon epi thickness in bulk, in pattern wafers, on top of the buried layers, and in poly and multilayers. Accent Optical Technologies, York, UK; ph 800/900-0955 (in US), [email protected], www.accentopto.com.

Click here to enlarge image

High-resolution x-ray inspection
The VJ-2000 x-ray inspection system provides high-resolution imagery and up to 5000x magnification for BGAs, wire bonds, and a variety of chip scale packages. The 5-axis manipulator facilitates component/defect location by allowing the operator to rotate and tilt the substrate while adjusting the x/y/z axes. Nexus 200 software provides Windows NT-based image analysis and CAD-based programming. The system includes a 20-Gigabyte hard drive and a CD writer for instant image and data archiving and is network compatible. V.J. Technologies Inc., Bohemia, NY; ph 800/858-9729 or 631/589-8800, www.vjt.com.

Click here to enlarge image

Gas purification system
The Infinity Gas Purification System (GPS) provides a continuous supply of pure gas at sub-ppb contaminant levels. It purifies ammonia, hydrogen, and inert gases at flow rates upto 3000 slm. Gaseous contaminants removed include H2O, O2, CO, CO2 and hydrocarbons from process gases and liquid bulk sources. Applications include the manufacture of compound semiconductors, silicon semiconductor processing, photolithography, area (multitool) purification, and main plant or backup purifiers. A dual-bed design provides in situ regeneration and guarantees a continuous supply of purified gas. Using Infinity GPS, the process is not interrupted for replacement of a saturated or spent purifier bed, and there is no need to send back the purifier for regeneration or to install a replacement cartridge. Aeronex Inc., San Diego, CA; ph 858/452-0124, [email protected], www.aeronex.com.

Process gas control
The GASGUARD AP2 Controller features an LCD display, actual panel flow schematic, raised LED status indicators, and improved ergonomic keypad. These features provide status at-a-glance for optimal operator interface. The fully modular electrical components are easily accessible and require no special tools for maintenance. The Controller is positioned for maximum viewing of valve positions and actual panel schematic, system status, process values, alarm conditions and menu options. It also has expanded I/O for digital and analog signals to control multiple process panels or process sticks within valve manifold boxes. Air Products and Chemicals Inc., Allentown, PA; ph 800/654-4567 ext 1043, [email protected].

High-rate silicon etch source
This new high-rate silicon etch source, the ASEHRM, is based on a completely new design of decoupled ICP source and offers significantly improved etch rates and cross-wafer uniformity. The source has successfully demonstrated a range of applications, including high-aspect-ratio etching and deep/through wafer anisotropic etching. In addition, it has achieved good results in etching optically smooth trench sidewalls and small high-aspect-ratio via holes. As with all systems running deep silicon etch processes, results are device-dependent, but for a range of typical MEMS devices the ASEHRM source has consistently demonstrated etch rates up to three times those of the standard rate "Bosch" sources. These results are achieved without any degradation in profile or roughness, and in many instances also benefit from greater cross-wafer uniformity. Coupled with this company's loadlocked Multiplex or ASPECT cluster platforms, the ASEHRM greatly improves the price/performance equation that has limited the acceptance of deep silicon etch processing for certain applications. Surface Technology Systems, Newport, UK; ph 44/1633-654-702, fax 44/1633-652-405.