SEMI WEST SHOW REPORT: Attendees challenged by economy
09/01/2001
A special report by the editors of Solid State Technology and WaferNews
The familiar sights of the Golden Gate Bridge and San Jose's Convention Center. |
What a year! Soaring sales, unprecedented expansion, and a seemingly infinite tech-driven economy gave Semicon West a party atmosphere, full of hope, optimism, and plans for future prosperity.
The year, unfortunately, was 2000.
|
Semicon West 2001 was a slightly different story. The joy that was 2000 has been supplanted by the doldrums that are 2001. Semicon's numbers did drop from year to year the exhibit area dipped from 379,258 ft2 to 375,917 ft2; 2001 saw some 300 fewer exhibitors at 1467 compared to 2000's 1783; and registration dropped by about 11%, down to 60,028 from 67,729 last year.
The economic decline had some impact on Semicon West 2001, but there was not widespread despair. In reality, the downturn has forced companies to creatively address business concerns through improved practices and new technology successful firms treat the times as a challenge, not a threat. In fact, there was no end to new technologies being unveiled at Semicon West, analysts' thoughts on the (hopeful) recovery of 2002, and cost-saving partnerships and business strategies being detailed by spin-savvy CEOs.
Dealing with the downturn: The executives' views
Everywhere you looked at Semicon, executives at news conferences, roundtable discussions and one-on-one interviews were dealing with the main issue at hand the downturn. Mihir Parikh, chairman and CEO of Asyst Technologies, Fremont, CA, was concerned that if a few more of the current 300mm fab builders delay their new fab plans, then the equipment industry will be in for an even bigger setback. Asyst is a company supplying fully integrated automation to emerging 300mm fabs, among other products. "Equipment is shipping to 300mm fabs for low wafer start levels (i.e., not the full built out capacities of these new fabs), but there is so much 200mm fab capacity available and right now 300mm does not bring any new technology to the industry; everything that needs to be done can still be done with 200mm."
On the other hand, Art Zafiropoulo, president and CEO of Ultratech Stepper, said he felt the downturn would ultimately accelerate the transition to 300mm wafer processing. Clearly the infrastructure for handling 300mm wafers is in good shape. At the outset, 300mm wafers were to be introduced at 0.25µm geometries. During the past seven years, the industry has invested $5 billion, peaking three years ago. The silicon wafer industry has invested an additional $2 billion. During the transition from 6-in. to 8-in. wafers, process tools were not as sophisticated. Now, after a false start a couple of years ago coupled with the current downturn, 8-in. wafers are being extended and the added time is being used to focus on developing 12-in. processing, opening the way to a seamless transition.
One of the hot topics among execs was the push to cut costs through "old-fashioned" management practices such as outsourcing and joint development.
The increasing importance of consumer electronics markets to the chip industry rivets attention on price competition, and faster product life cycles. "Most growth in the next five years will come from consumer electronics," said Eric Ross, senior research analyst at Thomas Weisel Partners. "But that means a large number of units, with small average selling prices. And the life cycle of a consumer product is only about nine months."
Intel's Bob Bruck, director of equipment procurement, concurs, "The Internet is commoditizing everything and making it more consumer-centric." And that's pushing companies towards more outsourcing. "At Intel we've used foundries to outsource older technology when we needed more capacity," Bruck said. "But now with Communicant in Germany we are putting brand new technology in foundries too, to reduce costs for the consumer model."
Increased demand for outsourcing
AMD's Dick Deininger, director of strategic equipment technology planning, noted that his company has found it very cost effective to outsource its ion implantation to Ion Implant Services, and will start to think about what other fab processes, if any, it can outsource.
Suppliers also reported taking on more and more jobs for their customers. Scott Gehlke, president and CEO of Ion, said, "Some companies are turning all their electrostatic management over to us." Rodel President Tony Khouri noted that his company is now doing more engineering services for customers, like making some chemicals.
"We're seeing increasing demand for outsourcing," agreed Entegris' Microelectronics Group President Michael Wright. "Customers are buying the function, not just the product." So Entegris is taking on more of their inventory control and logistics.
Cooperation the way to go
According to Henry Becker, VP and managing director, Infineon Technologies, Richmond VA, yesterday's technology leadership model is no longer adequate. Back then everything was done in-house; you had your own R&D engineers and facility product designs and process development, and pilot and volume production. "Today's model is where a majority of your development is done in cooperation and partnership with your competitors and your allies," said Becker. "This is all driven by shorter cycle times, and the need to lower costs and share risk. If you look at today's economy, we are looking hard at ways not to cut our R&D dollars, but we really need to know what the boundaries are for various technologies, so sharing the risk is a big factor."
Becker also noted that a crucially important part of the new technology-leadership model is getting more ideas through cooperation. "If you have a partnership between an IC manufacturer and a tool manufacturer, there are going to be resources that come from two different perspectives, and in the long run you end up with a better product solution than you could have done by yourself," he said.
One interesting twist to this downturn is that despite the flood of news reports US companies are making concerted efforts to avoid layoffs during the tough times. Top dog Applied Materials has been hit as hard as any company, but so far has tried to steer clear of the "hire and fire" syndrome, according to CFO and Executive VP Joe Bronson.
Bronson noted that he thought this was the second phase of the downturn, adding that capacity utilization is weaker than was expected and that little investment was being made in broadband, despite the fact that the technology for new networks does exist. Bronson also suggested that there may be 300mm capacity investment slowdowns to come, although that hasn't been seen yet.
Applied CEO and Chairman James Morgan said he knew his customers were going to be moving to 300mm; the question was when: "We don't see them not going to 300mm. The issue our customers are faced with is deciding when to make the investment."
Bronson said Applied has kept the R&D budget intact, with $1.2 billion slated for this year. Looking toward the upturn, Applied announced at Semicon West that it was geared toward the "nanochip revolution," with work on 300mm, copper and low-k materials, device shrinks and the application of "process modules" as the keystones for Applied's plans to enable sub-100nm devices. Process modules consist of an approach that segments the semiconductor manufacturing process into separate blocks that work together as a single unit.
Applied's iPUP, on the right, was co-designed by Alcatel. |
Notably, Applied entered two new markets high-efficiency pumps and atomic layer deposition devices. A new Applied ALD technology will be used to deposit thin, conformal, high-purity tungsten films at low thermal budgets. The ALD device will deposit a strong layer of tungsten between the copper and transistors, said Applied, and will provide "perfect" plugs, with no voids or dimples.
The roughing pump the iPUP (see photo) was co-designed by Alcatel and is distributed by both companies. Applied is also working with other OEMs to develop slightly different versions of the portable pump for various process applications. Applied claims the pump is universal and is backward compatible with other roughing pumps used on competitors' tools.
Of course, as always, there are some niches doing well during the current industry downturn. André-Jacques Auberton-Hervé, president of SOI wafer supplier SOITEC SA, Bernin, France, told Solid State Technology, "Because the industry is still in the early phase of SOI products, we have been quite 'preserved' from this downturn and are continuing with our expansion plans. The III-V materials area is also quite a good niche of the industry to be in."
Being an analyst is also a good niche during a downturn; everyone is seeking an opinion.
Ask the analysts
The annual Dataquest seminar on semiconductor manufacturing revealed market conditions deteriorating so rapidly that the notes prepared one week ahead and the up-to-date information projected on the screen did not agree. Briefly put, 2001 promises to be the worst year ever, with 2002 less bad, but no recovery to the year 2000 level until 2003, if then. However, 2000 was the best year ever, according to Klaus Rinnen, chief analyst and director of Gartner Dataquest, leaving the industry in relatively good condition to weather an extended downturn.
|
The baseline number for the drop in equipment spending expected for 2001 was -30%, with an upside potential of -24% and a downside range between -32% and -35%, according to Rinnen. The best case scenario for 2002 was no change from 2001, with a further 17% decline possible if there is a recession in the US. Rinnen observed that the underlying problem is excess inventory and production capacity all through the semiconductor food-chain from sand to end user.
Until the economy begins to grow and a demand for new applications arises, there is little motivation to add semiconductor capacity. The revenue booked by DRAM manufacturers has fallen 55%, to 1993 levels, and foundries are now operating at 50% of capacity or less. All of which suggests large scale restructuring of the industry is coming, with relatively few survivors in each geographical and product niche.
The need for new technology does not disappear during a downturn, and that is driving some equipment purchases, according to Principal Analyst Dean Freeman. Still, Dataquest estimates that more than 12 of the new fabs announced for 2001-02 will be shells, filled with equipment only when the upturn takes hold. The 300mm ramp-up will be slowed by the need to retire old capacity and develop processes extendable to 70nm.
Elizabeth Schumann, Semi's director of industry research and statistics, shared with conference-goers six key areas to watch in 2001.
- Evolving technology is one such bright spot, including 300mm, <0.18µm, next-generation lithography, copper and low-k, advanced packaging, complex interconnect routings, integrated factory automation, wireless communications, fiber optic devices, MEMS/MST, thin-film MR-heads for HDD and bio-molecular devices.
- Overall fab efficiency is increasing, with a broad focus, systems view of fabs, tools, and integration. Supply chain management, bottleneck analysis, MES and other productivity software, automation, and outsourcing are all trends that are continuing to grow. "This really has to do with how the industry is maturing," Schumann noted.
- Service is becoming a strategic offering, with time-to-volume increasing in importance and a focus being put on return-on-assets and core competencies. Service offerings will include repairs and spares, inventory management, parts kitting and cleaning, labor services and installation optimization.
- E-diagnostics are also the result of the big push to increase equipment reliability and performance, Schumann said, although they haven't delivered on reduced costs, yet.
- Consolidation will continue in 2001, another sign of the maturation of the industry. The total market share of the top 20 players, Schumann explained, was 81% in 2000, and that will only grow as entry barriers heighten and human capital remains scarce.
- The China market is finally emerging, with two 200mm fabs there now (Motorola's and NEC's) and both are adding equipment. Additionally, two 200mm foundries are opening in Shanghai, with SMIC set to produce this year and Grace Semiconductor's possibly producing this year, or next year at least. While there are lower construction costs in China, said Schumann, building there is often more complicated because of a lack of bedrock on which to lay foundations for stability. Schumann said the infrastructure there needs improvement, as well. The current slowdown may help China catch up to the rest of the world, she said, as the companies there already have the capital committed to the 200mm lines. It's "certainly possible" that the equipment market could hit $7 billion by 2003 or 2004, she said.
Metrology: The race for in-line optical profiling
Intense competition in optical profiling is driving progress at a speed that's startling even for this industry. Now that new optical technologies can apparently deliver useable measurements of critical dimensions on the fly without sacrificing the wafer, toolmakers are scrambling to integrate the systems into their etch and track tools.
Seems like just a few months ago that the biggest issue for the handful of small companies trying to build an optical profiling business was trying to convince users that these generated profiles of lines and spaces actually corresponded to the cross sections they saw when they sliced through the wafer and examined it under an electron microscope. But now suddenly all the big names are announcing 3-D optical profiling systems. Tokyo Electron Ltd. plans to integrate optical profiling from Timbre Technologies into its track and etch equipment by next year. Applied Materials is offering an integrated metrology system from Nanometrics on its new Transforma etch system. And FSI International says it will work with KLA-Tencor to integrate KLA's optical profiling, now in beta test, onto the Polaris lithography cell.
There's no question customers are eager for the potential big improvements in productivity possible from being able to check critical dimensions and film parameters in real time, without taking several hours to move the wafers to offline tools and inspect them, and without sacrificing any expensive 300mm wafers. "We want integrated CD metrology inside the track and inside the etcher," said Richard Deininger, director of strategic equipment technology planning at Advanced Micro Devices, who noted his company is working extensively with KLA on all sorts of integrated metrology. "We can't build our product as fast and make changes as fast without integrated metrology."
So far users are just starting to utilize the new optical profiling tools offline, like a CD-SEM, to test how well they work, and suppliers of the technologies report enthusiastic response, since even a nanometer of variation in transistor gate length can make a big difference in high-speed performance, and can cost a chipmaker as much as $1000/wafer or more. One user is reportedly seeing an increase in revenues of $1 million/week at one fab by making devices closer to target specifications and thus getting more die that actually perform at top speed out of the wafer. Timbre said one of its users has just correlated electrical performance directly with the scatterometry profile for the first time.
Now the scramble is over whose approach works best, and how fast tool makers can get their integrated metrology to market. Applied Materials opts for Nanometrics' technology, which uses normal incidence white light and looks at spectral reflection, analyzing the data with real time regression analysis, instead of comparing it to a library of previously generated patterns. Kevin Fairbairn, Applied's VP and general manager of conductor etch, said Applied finished up analysis in mid-May of a blind test of four complex wafers sent out to be measured by three main suppliers of optical critical dimension or scatterometry software and hardware. "The results," he said, "showed you didn't need UV light or a library. The direct solution worked as well, and it was simpler." Applied was already using other Nanometrics metrology modules on some of its CVD equipment, so it could integrate the similar OCD module onto its etcher in just a couple of months.
TEL has formed an entire new division around Timbre Technologies' scatterometry technology, which compares the pattern reflected from a standard grating pattern on the wafer to a library of previously generated patterns. The Timbre approach is showing knockout detailed profiles down to the notching and undercuts and bowing in the side walls. Timbre President Alan Nolet dismissed his competitors' approaches as "Timbre-lite with water." TEL recently purchased the Fremont, CA, startup. Nolet said the technology will be integrated into an etcher in six months. Official TEL word is the advanced metrology will be available on a number of tools by mid-02.
Applied will counter with a simpler approach from Nanometrics, which uses normal incidence white light and looks at spectral reflection, analyzing the data with real-time regression analysis instead of comparing the pattern to a library. "The amount of detail you can get depends on how large your library is, and it's expensive to create libraries," said Glenn Evans, Nanometrics' product marketing manager for integrated metrology systems. "And if you change anything, then you have to regenerate the whole thing." He also noted that libraries can raise IP concerns, since most customers don't want to send all their critical data out to a third party. Both approaches apparently actually run at about the same speed.
But Timbre's Wenge Yang, VP of product marketing, countered that to do real-time regression one has to simplify both the input and the output, or the calculations would take several hours. "We find that most of our customers want more data, not less," insisted Yang. "If you simplify, you may be getting not the simple answer, but the wrong answer."
Not to be left out, metrology leader KLA-Tencor has a new optical profiling tool of its own, which uses spectroscopic ellipsometry and scatterometry, and also compares the pattern to a library of models. It measures the critical dimensions, the height, and the sidewall angles, at 60 wafers/hr for 300mm. KLA notes that tests on its beta tool show greater than 98% correlation between these real time, spectral measurements and what they find when they slice the wafer and look at it with a CD-SEM. "The added shape information enhances focus and exposure analysis and control," noted KLA's Ady Levy. "So we can measure focus and exposure independently. Focus uncertainty is less than 10nm in a production process."
Even the king of sonic measurements, Rudolph Technologies, is getting into the optical metrology business, working on a simultaneous multiple angle of incidence ellipsometer, which looks not only at frequency but also at the angle of reflection. The company aims at production in the next nine months or so, and is working with TEL and Timbre. "We hope to get into the stream with TEL for phase two," said George Collins, Rudolph's director of marketing.
A look at lithography
Issues with birefringence in CaF2 lenses (see "CaF2 birefringence workshop reaches consensus" in Technology News on p. 26) wasn't the only topic bandied about in the lithography field at Semicon West 2001.
Steve Carlson of Photronics gave an impassioned defense of the reticle industry at the beginning of the FSI lithography breakfast. According to Carlson, mask prices are not too high, even though the million-dollar mask set is about to become reality at the 130nm node. Rather, the cost of reticles has increased roughly at the same rate as pork bellies or the consumer price index, while the prices paid for semiconductor chips has fallen. New mask fabs cost around $250M. If they earned the same rate of return as a wafer fab, average mask prices would be $10,000 each, much above the current level (except for the rare leading-edge reticles), according to Carlson. In his view, the mask industry can make the reticles needed for leading-edge chip processes, but cannot prove it because of inadequate metrology, inspection and repair technology. The net result is that useable reticles are unnecessarily discarded, raising prices and increasing delay.
Rob van der Werf described ASML's "cost-effective 100nm exposure tool," the Twinscan AT1100B. With a 500 kg NA=0.75 lens capable of imaging 80 billion pixels with a 4kHz ArF laser on 300nm wafers on two wafer stages (see cover), this system promises to process 140 wafers/hour at a cost/field 43% less than current 200mm tools, according to van der Werf. Since metrology is done in parallel with exposure, it can be tremendously thorough, mapping wafer height and locating numerous alignment marks. The 1100B is expected to ship late this year, well before its Japanese competitors. Achieving 100nm line-space resolution and 130nm contact arrays requires some sort of off axis illumination. According to Harry Sewell of SVGL/ASML, the 1100B is engineered for continuous use in high-throughput installations. The Micrascan VHNA system (also being produced now by ASML) will be optimum for more episodic 100nm production.
Phil Ware, senior fellow for litho strategy at Canon USA, would not concede the throughput advantage of dual stages. Canon's FPA6000-AS4 scanner contemplated for shipment in 2003 will achieve 140 wph using high acceleration stages. The reticle stage will blast-off at 6g! Canon has installed internal CaF2 production capability to meet the projected need for 70nm-capable 157nm tools in 2003, but Ware foresees leading edge being at 193nm for now. Canon's 0.75 NA FPA5500-AS3 will ship in 3Q02, almost a year after ASML.
Andrew Barada of Nikon Precision predicted that current KrF lithography would be used (at least for DRAMs) down to 110nm half-pitch, while microprocessor fabs would shift quickly to ArF scanners and push towards 80nm. To facilitate that, Nikon announced its S306C scanner with the largest available numerical aperture (0.78), low aberrations (<0.02 waves) and real-time Zernike adjustment. With off-axis illumination produced by Nikon's new flexible illuminator, or another RET technique, such a lens should easily print 100nm lines and spaces. However, orders will not be accepted until the 2Q2. The projected throughput is a reasonable 85 300mm wafers per hour. Nikon is working on three designs for 157nm scanners for the time when ArF will no longer suffice. For 80nm contact holes, Nikon still anticipates supplying electron projection lithography systems in 2004 and an EUV beta-test tool in 2005.
Doug Anberg of Ultratech lamented the $20 million projected cost of full-field 157nm scanners and pointed out the early 2002 planned availability of its mid-field (4mm x 4mm) 6x Jupiter tool. With a variable aperture lens with NA up to 0.75, flexible illumination and a mag-lev stage, this tool should facilitate process development for 100nm and below, which is likely to prove especially laborious because of 157nm material incompatibilities.
The ITRS roadmap itself was the topic of an all-day closed committee meeting. A new version, due in November, is reputed to show another acceleration, with the 130nm node rescheduled for 2001, the former 100nm node replaced by a 90nm node in 2004, with proportional shrinks to 65nm and 45nm on a three-year cycle, which itself will likely be accelerated. Ware, of Canon, commented that "The Roadmap is the weakest link!"
Materials innovation will be needed to make speedy circuits with these dimensions and Trikon Technologies of Newport, UK, announced a new family of nanoporous low-k insulators for damascene interconnects deposited by CVD. The Orion family of materials allows a dielectric constant as low as k<2.2, below the previous limit for CVD materials. Trikon says the material is harder and more robust than spin-on competitors.
Advanced photomasks will be needed for 130nm circuits, and Applied Materials announced the Etec ALTA 4000 laser mask writer in hopes of capturing market volume. Based on a frequency-doubled 257nm laser, the ALTA 4000 requires new chemically amplified resist DX-1100. Hoya Inc., the dominant supplier of ready-to-write photomask blanks, offers plates pre-coated with this material, expected to have three-month stability, according to Tom Newman of Applied.
With 32 beams (each with its own geometry engine) and direct GDS-II input, the ALTA 4000 is expected to show a two-hour write time (with four passes) on reticles with 400nm nominal minimum CDs. First shipment is expected in 3Q01. The long-awaited 50keV MEBES X electron-beam mask writer was discussed informally but not announced officially. The first unit is expected to be delivered to IBM. Hitachi and Toshiba also showed advanced 50keV e-beam mask writers, which are now available for delivery in the US.
Chemically amplified resist formulations require photo-acid generators, many based on perfluoro-octane sulfonate (PFOS), a material formerly used in Scotchgard, but now banned by the EPA as persistent and bioaccumulative. 3M Corp. announced four families of non-PFOS PAGs expected to be useful for ArF and advanced KrF resists. One has already received a "low volume exemption" from the EPA. Two versions will be available to US resist manufacturers in late 2001, but actual PAG performance in 193nm resist has yet to be explored.
____________________________________________________
Vlad the Impaler wasn't signing autographs at the Loctite booth. |
A few Semicon superlatives
- The best give-away at the meeting was the Razor Scooter offered by Helix Technology Corp. of Mansfield, MA, to anyone willing to listen to a five-minute presentation on its GOLDlink e-diagnostics product for vacuum systems. The line stretched around the booth and back, but Helix had no hand-outs for those interested in the technology, but unwilling to wait in line.
- The biggest stretch was either the claim that data supported an upturn (printed in the Semicon West Daily News magazine on Friday) or that redesigning all the 157nm optics to cancel the recently discovered large intrinsic birefringence in CaF2 and BaF2 would not delay the program. (See workshop story in SST's Technology News section on p. 26)
- The best zinger had to be one from Intel CEO Craig Barrett, who, commenting on some statistics he and his executives had once seriously doubted, told a group of Semi member executives, "We thought they were like the claims for some of your equipment throughput."
- The coolest product was probably the Motoman RobotWorld shown by Yaskawa, which is capable of various assembly operations. In this system, permanent magnets hold little robots to the ceiling of a work cell while air bearings and crossed linear electric motors allow them to scurry quickly across it, performing tasks on the product below. The entire impression is of an inverted production line run by miniscule robots! Baldor Motors and Drives showed a similar work-station, but with inverted magnetic bases and motors, rather than end-effectors and tools moving around the ceiling.
- The most inert TV personality at a booth had to be Vlad the Impaler (see photo). The former heavyweight Robot Wars champion of the Comedy Central channel made a public appearance at the Loctite Booth in San Jose. Unfortunately, Vlad was not powered up and could not roll around, flipping over the opponents of its corporate sponsor as it had dealt with competing robots on television.
- he most exotic solution to a mundane problem was probably the alpha-emission free solder offered by Pure Technologies of Atlanta, Georgia. Even the purest naturally occurring lead contains an unstable isotope, 210Pb, which decays to alpha-particle-emitting polonium. Those particles can flip bits in memory and logic, especially now that the dimensions have gotten so small. Pure Technologies uses Russian laser isotope separation equipment to remove the offending atoms, producing up to 50 tons/year of emission-free lead. Solder made from this material (and similarly purified tin) cannot cause soft errors in delicate circuits. In the US, Israel, South Africa and presumably Russia laser isotope separation was developed in order to produce atomic bombs more efficiently. The fact that this process is now used to make solder safe for DRAM bumping says something good about the times we live in!
- The oddest booth belonged to Novellus which is not a surprise. All around it were walls of gauzy fabric with tall display screens inside showing views of Novellus products, to choral music. There just were no people, only a sign high above saying "We are not here." Where they were was at the Yerba Buena Forum outside, interfacing with their customers, but not aggressively seeking attention or new clients. The contrast between the low-key Novellus operation in 2001 and the Disneyland-style installations in previous years illustrates the industry mood.
- Nikon took the prize for the most circus-like atmosphere. All eyes were on Nikon's booth as its ad campaign came alive in the form of an acrobatic display. A highly flexible woman, dressed in white Spandex, hung from what appeared to be a thick ribbon attached, somehow, to the ceiling beams of the Moscone Center. The woman performed Cirque de Soleil-style acrobatics, impressing onlookers, who crowded around to watch a work of art in