Issue



New Products


08/01/2001







Click here to enlarge image

Defect inspection for 300mm wafers
Surfscan SP1 DLS is a 300mm inspection tool that provides brightfield, darkfield, and nanotopography defect information in a single scan. It is designed to capture a wide variety of yield-limiting defects (down to 50nm at a throughput up to 125 wafers/hr) to accelerate yield learning at design rules of 130nm, 100nm and below. The architecture of the SP1 DLS is built on the SP1 TBI and allows rapid capture and characterization of critical defect types on blanket film wafers during photolithography, deposition, etch, and CMP processing, thereby reducing the risk to product wafers. The tool is available with wafer-edge handling, allowing it to inspect the backsides of wafers nondestructively for contamination — a critical requirement for 300mm double-sided polished wafers. KLA-Tencor, San Jose; ph 408/875-5473, [email protected], www.kla-tencor.com.

Click here to enlarge image

High-current implanter for 300mm
The HC3 high-current implanter features this company's field-proven multiwafer 300mm end-station and an enhanced high-transmission beamline with boosted low-energy beam current and an extended operating range from 0.2-80 keV. The new beamline features a proprietary electron confinement technology that reduces low-energy beam blowup induced by space charge. This technology delivers low-energy beam current increases of 20-200% to the wafer without adding moving parts or complex assemblies. The system also provides the ability to run As2 and P2 dimer species, which further increases low-energy n-type beam currents ~50%. The HC3's multiwafer-handling system complements the two access process chamber, resulting in the highest available beam utilization. With this excellent ion beam duty cycle, wafer-handling overhead is minimized, allowing for incremental improvements to the beam current without the penalties of a slower architecture. An enhanced real-time closed-loop dosimetry system, used in conjunction with new technology in the process chamber, improves dose control and reduces cross-contamination to accommodate advanced roadmap requirements. Axcelis Technologies Inc., Beverly, MA; ph 978/787-4273, [email protected], www.axcelis.com.

Click here to enlarge image

300mm metallization
Entron is a compact metallization unit for 300mm wafer fabrication. Its footprint is one-third the size of conventional systems, saving valuable cleanroom space. It also offers a flexible platform configuration for various metallization requirements, including normal, long-throw sputter (LTS), self-ionized sputter (SIS), pre-clean etch, or CVD modules. The patented LTS and SIS modules are innovative technologies offering very good step coverage and fill performance for high-aspect-ratio device structures. The unit has an enabling system for Q-TAT, which generates short delivery and installation lead times. The system produces very good results for Ti/TiN barrier metal deposition, W/WN polycide, Co silicide, and AL slab interconnect and Cu barrier/seed layer. Another configuration of the Entron is also available for 200mm processing. ULVAC Technologies Inc., Tokyo, Japan; ph 81/33535-6388 or 978/686-7550 ext 244, [email protected], www.ulvac.com.

Click here to enlarge image

Mask aligner for 300mm
The 300mm IQ Aligner is based on a new technology that offers three alignment methods within one system. The mechanics of the system allow top side, bottom side, and darkfield mask alignment — the first such system available, according to the EV Group. It is designed for leading-edge technologies that require wafer-level alignment and photolithography up to 300mm as used in advanced packaging and MEMS applications. The IQ Aligner handles substrates up to 300mm and is available in manual or fully automated configurations for high-volume production. Modular design reduces service and maintenance costs. EV Group US Inc., Cranston, RI; ph 401/784-0008, [email protected], www.evgroup.com.

Click here to enlarge image

Gas analysis system series
The HPR-XX series of gas analyzers represents a suite of mass spectrometer-based systems, each refined for specific applications accommodating sample pressures ranging from high vacuum to 30 bar. All systems share a comprehensive control program that features fast analysis rates, multichannel monitoring, and 10-decade dynamic range, together with integrated statisitical data presentation. Mass range options extend from 200 amu for routine species to 2500 amu for specialized applications. Each integrated system and gas handling interface is optimized for the specific process, addressing diverse applications that include gas composition and purity measurement, thermogravimetric and catalysis studies, and dissolved species monitoring. The latest addition to the range, the HPR-90, features a multistream selector valve with 40 or 80 sampling lines designed expressly for atmospheric monitoring and reactor vessel environment/top gas analysis. Hiden Analytical Ltd., Warrington, UK; ph 44/1925-445225, [email protected], www.hidenanalytical.com.

Click here to enlarge image

Nanofocus x-ray inspection system
Combining high-resolution x-ray technology with advanced optics, the FXS-160.25NT (F.O.X.) is the first nanofocus x-ray inspection system. It is targeted at real-time inspection of BGA, µBGA, flip chip, chip-on-board, bond wires, wedge bond, vias, and other applications where highly precise measurement is needed. Its capabilities include geometric magnification of 2400x (total magnification up to 7200x), a focal spot size <1µm, and feature recognition of 500nm, as well as high-precision manipulation through five-axis joystick control. Complementing the technological advances in the system are a modular cabinet design and an ergonomic console featuring the FIP-600NT real-time image processing system, which provides automatic voiding calculations and BGA measurement. Feinfocus USA Inc., Stamford, CT; ph 203/969-2161, [email protected], www.feinfocus.com.

Click here to enlarge image

Fully automated metrology tool
The Proforma AutoScan 200 is a fully automated metrology tool that determines thickness, TTV, bow, warp, site flatness, global flatness, and resistivity. Based on proprietary push-pull capacitance technology, it delivers full wafer surface scanning with throughputs up to 100 wafers/hr. AutoScan provides highly accurate and repeatable measurements of both semiconducting and semi-insulating wafers from 75-200mm in diameter. User-defined and ASTM/Semi scan patterns are used to generate full 3-D wafer images. The tool comes standard with a Class 10-compatible pick-and-place robot with laser cassette scanning and an integrated pre-aligner that can detect all Semi-standard notches and flats. MTI Instruments Inc., Albany, NY; ph 800/342-2203, fax 518/218-2506, www.mtiinstruments.com.

Click here to enlarge image

Hybrid filter system
Extraction 3000 is a next-generation hybrid filter system designed to safeguard optics and resists in 193nm lithography. The Extraction 3000 is the industry's premier filter system, specifically targeting molecular acids, bases, and condensables. The system features a serial flow design and small footprint, allowing quick and easy installation for the end user. Several in-series filters can be optimized for specific filtration needs. This capability allows operators to remotely monitor filter lifetimes and schedule filter changes, resulting in maximum lithography tool uptime and optimal cost-of-ownership. Extraction Systems, Franklin, MA; ph 800/992-0281, fax 508/553-3901, [email protected].

Click here to enlarge image

Automatic wire bonder
The FB-150/FB-150G is an automatic wire bonder that meets the needs of high productivity and fine pad pitch. It has a 50µm bond pad pitch capability and features speeds of 0.078 s/w with loop control at a loop length of 2.0mm. A new PRU algorithm, PatMax, is installed for detecting position by geometric pattern matching. Graphic functions are installed for operator assist functions. A color LCD monitor is also available as option. The FB-150D, a new automatic wire bonder for discrete devices, features speeds of 0.082 s/w with loop control at a loop length of 0.5mm and realizes 18000 UPH. Kaijo Corp., Tokyo, Japan; ph 81/42-555-6162, fax 81/42-579-5175, [email protected].

ESD monitoring system
This ESD monitoring system provides continuous real-time monitoring of all factors that affect a static-sensitive environment. Parameters, such as electrostatic discharge, electrostatic charge, ionization balance, ground status, temperature, and relative humidity, can be easily integrated into the system. True open architecture allows instruments from any manufacturer, including existing instruments, to be connected. The software provides real-time graphs, charts, statistical process control (SPC), mapping, alarming and paging. Lighthouse Worldwide Solutions, 408/945-5905, [email protected], www.golighthouse.com.

Click here to enlarge image

On-board etch waterpump
On-board etch waterpumps are high-performance vacuum pumps that significantly reduce water vapor levels within metal and polysilicon etch systems, increasing mean time between cleans by up to 35% and OEE by up to 10%. Etch waterpumps improve system productivity, extend equipment life and substantially reduce maintenance costs by inhibiting by-product reactions that cause undesirable process conditions such as polymer flaking and hardware corrosion. System upgrades are offered for the industry's leading in-line and cluster etch tools. Each upgrade operates with the tool's existing operation, so process changes are not required and wafer throughput is never negatively affected. Exact specifications are ISO 100, 1100 liter/sec for water vapor pumping speed; ISO 160, 2500 liter/sec; ISO 200, 4000 liter/sec. Helix Technology Corp., Mansfield, MA; ph 508/337-5861, fax 508/337-5169, [email protected].

Click here to enlarge image

UHP moisture analyzer
The technology of the NanoTrace Moisture Analyzer, a tunable diode laser absorption spectroscopy (TDLAS) moisture analyzer, is governed by Beer's Law. By measuring the intensity of the laser before and after absorption by moisture, users can easily solve for the number of moisture molecules in the sample, since all other terms in the equation are constant. Due to its ability to be finely tuned to a moisture peak, a sensitivity (lowest detectable change) of 100 parts per trillion and a resolution of 200 parts per trillion are achieved. The high accuracy of this analyzer is proven by the 0.998 correlation with an APIMS. Delta F Corp., Woburn, MA; ph 781/935-4600, fax 781/938-0531.

Wafer marking system
The Waferlase M300 is designed to meet the needs of the next level of silicon wafer and device manufacturers. Many advanced features are standard in the base platform, and options are available to address virtually every need, including: self-contained minienvironment; topside wafer marking, with options for bottom-side wafer marking (independent or simultaneous) or backside die marking (KGD); SECS/GEM compliance; high-accuracy robot, high-precision pre-aligner; OCR, BC412, 2D matrix — all standard; FOUP/SMIF — 300mm and 200mm wafers; open cassette platform — 100-300mm wafers; and a solid-state, diode-pumped laser tuned to the application. Rofin-Baasel, Acton, MA; ph 978/635-9100 ext 131, fax 978/635-9199, [email protected].

Click here to enlarge image

Dissolved ozone sensor
The dFFOZ-LF dissolved ozone analyzer can accurately sense a wide range of ozone concentrations in DI water and other liquids at low flow rates. Designed for flows <1 lpm, it can measure concentrations from the single ppb to hundreds of ppm levels and can operate directly at a wide range of line pressures and temperatures. The sensor provides continuous, in situ, in-line measurement of dissolved ozone in liquids over the concentration range 0-150 mg/liter. It is a "smart" plug-in sensor with on-board calibration data, and it incorporates this company's dual optical-path sample sensing technology for high performance and virtual elimination of the need for a reference gas measurement. The dFFOZ-LF is "clean-ready" for high-purity semiconductor processes and can be installed in a full-flow recirculating stream. IN USA Inc., Needham, MA; ph 800/798-4029 or 781/444-2929, www.inusacorp.com.

Click here to enlarge image

High-flow maglev turbopump
The ATH 1600M/MT is a high-flow maglev turbopump designed for semiconductor processes. It provides very good vacuum performance, 1400 liter/sec N2, in a physical package similar to most 1000-1200 liter/sec maglev pumps. It is available in a heated version (MT) for condensable process gases and in a nonheated version (M), and it is capable of producing a process pressure of 4 mtorr with up to 400 sccm of process gas. The ATH 1600 is maintenance-free and battery-free, and it features a five active axes magnetically levitated rotor, an automatic balancing system, an optional integrated heating system, and inert gas purge. Applications include metal etch, dielectric or interconnect etch, ion implantation, sputtering, and PECVD. Alcatel Vacuum Products Inc., Hingham, MA; ph 781/331-4200, [email protected], www.alcatel.com/vacuum.

Shielded gate valve
The 16000 Series Shielded Gate Valve is designed for use in aggressive applications such as CVD. The "shield" protects the internal mechanism from the process build-up that regularly occurs during harsh processes. Tested at OEM and major beta sites worldwide under various conditions, the valve increased MTBF significantly. Results showed that PM was extended from one to six months. The valve easily retrofits to existing equipment; optional heater jackets and purge ports are also available. HVA, Hayward, CA; ph 510/785-2744, fax 510/732-9853, [email protected].

Click here to enlarge image

High-rate silicon etch source
This new high-rate silicon etch source, the ASEHRM, is based on a completely new design of decoupled ICP source and offers significantly improved etch rates and cross-wafer uniformity. The source has successfully demonstrated a range of applications, including high-aspect-ratio etching and deep/through wafer anisotropic etching. In addition, it has achieved good results in etching optically smooth trench sidewalls and small high-aspect-ratio via holes. As with all systems running deep silicon etch processes, results are device-dependent, but for a range of typical MEMS devices the ASEHRM source has consistently demonstrated etch rates up to three times those of the standard rate "Bosch" sources. These results are achieved without any degradation in profile or roughness, and in many instances also benefit from greater cross-wafer uniformity. Surface Technology Systems, Newport, UK; ph 44/1633-654-702, fax 44/1633-652-405.

Click here to enlarge image

High-accuracy thermal measurement
With up to 34 measurement points on each wafer, the Process Probe 1535 measures real-time, in-situ temperatures ranging from 0-1100°C. ThermaBond technology features an enhanced thermal conduction bond that helps improve measurement accuracy to ±1.5°C or ±0.25% of reading. Multipoint temperature uniformity maps with an accuracy of ±0.2°C are typically achievable in hot wall applications. Combined with this company's acquisition and analysis tools, the Process Probe 1535 — with wafer sizes ranging from 50-300mm — characterizes and fine-tunes process conditions in diffusion furnaces, fast thermal processors, and other oxidizing gas environments. SensArray Corp., Fremont, CA; ph 510/360-5600, www.sensarray.com.

Click here to enlarge image

Compact actuator
The Cyclone Compact Z-q Actuator combines both linear and rotary motion in a single integrated package. It embeds a proprietary 2-axis brushless servo motor, 2-axis optical encoder, and combination rotary/linear air bearing technology in a compact, lightweight design. The Cyclone moving member is totally noncontact, ensuring high MTBF and cleanroom compatibility. Linear axis specifications include a travel range of 50mm, max velocity of 1 meter/sec, and resolution of 0.15µm. Rotary axis specifications include unlimited travel, max velocity of 60 radians/sec, and resolution of 0.0007 degree. The Cyclone also incorporates a hollow shaft that allows for a centerline optical path, commonly used for direct positioning correction without the need of camera offsets. The hollow shaft can also be used to easily route control wiring and pneumatic tubing to tool sensors and end effectors. At 31mm wide and 166mm long, the Cyclone can be ganged or stacked into a compact multiple unit arrangement for flexibility in a work cell configuration. Anorad Corp., Hauppauge, NY; ph 631/231-1995 ext 771, [email protected], www.anorad.com.

Process gas control
The GASGUARD AP2 Controller features an LCD display, actual panel flow schematic, raised LED status indicators, and improved ergonomic keypad. These features provide status at-a-glance for optimal operator interface. The fully modular electrical components are easily accessible and require no special tools for maintenance. The Controller is positioned for maximum viewing of valve positions and actual panel schematic, system status, process values, alarm conditions and menu options. It also has expanded I/O for digital and analog signals to control multiple process panels or process sticks within valve manifold boxes. Air Products and Chemicals Inc., Allentown, PA; ph 800/654-4567 ext 1043, [email protected].

Click here to enlarge image

Epoxy writer for modular die bonder
This compact epoxy writer (EWR) is an extension to the 2200 apm modular device for single-die and MCM attachment. At 450mm wide, it saves cleanroom floor space. The module works very efficiently in dual-apm configurations because its speed is adapted to this structure: from >2000 units/hr (die size >15mm) to 5000 units/hr (die size <5mm) in dispense operation. It can be fitted with epoxy cartridges of up to 30 ccm. With the devices, as with the previous apm system, all standard dispensable and stampable bonding agents can be used without difficulty. Depending on the application, it is possible to apply freely programmable epoxy patterns, lines or dots with high-precision positioning and repetition. The work area of the device is designed such that all sizes of commonly used materials can be processed: for BT substrates (laminates), copper leadframes, and flat Auer boats with widths of 1.5-10cm, lengths of 15-30cm and thicknesses of 0.2-2mm (with leadframes down to 0.1mm). For ceramic and FR4 substrates, widths and lengths of 5-10cm are possible with thicknesses between 0.2 and 2mm. Datacon Semiconductor Equipment GmbH, Radfeld, Austria; ph 43/5337-600-104, fax 43/5337-600-695, [email protected].

Click here to enlarge image

Pressure transducer for vacuum applications
This pressure measurement transducer measures vacuum conditions for high-purity, corrosive chemicals and gases used in chipmaking. It measures gas or liquid pressure, allowing the user to monitor process conditions for increased safety and improved system performance. Constructed without fill fluids or diaphragms, it provides reliability in conditions where other nonmetallic sensors fail and offers accuracy of 1% of span. Single-port or flow-through designs are available. Packaged for harsh environments, the transducer's wetted parts are constructed with PTFE and sapphire for corrosion-resistance and high purity, while the encapsulated electronics provide protection against corrosive fumes and liquids. NT International, Minneapolis, MN; ph 763/502-0200, [email protected], www.nt-intl.com.

Semiconductor yield management
This web-based engineering decision support solution, dataConductor 3.0, features the automation of many functions. The new scheduler, when used in conjunction with the enhanced sequencer, allows users to automate the typical analysis and reporting steps and to have them delivered whenever desired. The autoInsertion feature gives easy access to datasets from a variety of sources by transforming them into a standard format, then storing them in a centralized database. Sophisticated analysis and reporting enhancements have also been made, allowing analysis via a user-specified combination of attributes. Syntricity Inc., San Diego, CA; ph 858/552-4485, fax 858/552-4493, www.syntricity.com.

Click here to enlarge image

Wafer measurement
The 9530-NT Ultragage measures 8700 data points in <60 sec, providing the superior precision required for measurement of thickness and shape and for calculation of stress. It applies industry-standard metrology to backgrind, etch and lap processes that reduce wafer thickness to as little as 150µm. It is designed for production operations that demand high-performance metrology for equipment set-up, process qualification, and on-going process control of next-generation wafer-thinning processes. The 9530-NT keeps wafers safely centered within its dynamic measurement range. ADE Corp., Westwood, MA; ph 781/467-3500, [email protected], www.ade.com.

Click here to enlarge image

Multiposition servo transfer system
Designed for semi-automated wet benches, this multiposition servo transfer system allows the use of two etch tanks with a shared dump rinser for added process complexity, while multiple recipes with 20 steps each allow easy operation and flexibility. The system also allows precise control of the most delicate items, while the mounting permits interchangeable use of single or dual cassettes. Victor Assoc., Ivyland, PA; ph 215/443-5664, fax 215/443-5666.

CMP yield enhancement software
CLIP (Chip Level Integrated Planarizer) is a software package that contains four programs: an effective pattern density calculator, a CMP simulator, a CMP model characterizer, and an automatic smart dummy filler. The package is suitable for use in interlevel dielectric polish, shallow trench isolation, and the copper dual-damascene process. CLIP is based on this company's proprietary CMP modeling and smart dummy filling algorithm. It enables single-pass dummy filling, saving engineering, CMP machine time, and reticle costs. The package delivers as much as a 50% uniformity improvement compared with conventional in-house dummy fill and a 75% improvement over a process without dummy fill. CMP Technology Inc., Fremont, CA; ph 510/226-8730,[email protected], www.cmptechnology.com.

Click here to enlarge image

Wafer level burn-in
This patent-pending burn-in thermal management system consists of four basic components: the burn-in chamber; a thermal chuck with a dedicated heating/cooling source and temperature sensor; a tight-tolerance airflow generation system; and an advanced cooling system. Using these four components, engineers can custom-design almost any type of thermal management system to meet customers' wafer level burn-in requirements. The systems can handle 200mm or 300mm wafers, high-density and high-wattage applications, and ambient or subambient processes. Despatch Industries, Minneapolis, MN; ph 800/828-9903, [email protected], www.despatch.com.

TOC analysis
The AnaTOC photocatalytic endpoint detection system ensures complete oxidation of all organics present in the water sample in the shortest possible time and without any conventional reagents or gases. Cost per sample is <5 cents, including all consumables and standard maintenance. The system uses a slurry consisting of 25µm titanium dioxide particles and 400nm near-UV energy as the photocatalytic source. The closed-loop design eliminates the need for any carrier gas and the inconvenience associated with conventional wet chemistry and combustion methods. Also, AnaTOC is the only analyzer that measures TOC directly in a single sample. Studies show that this technology provides superior oxidation recovery levels compared to combustion techniques. Anatel Corp., Boulder, CO; ph 303/442-5533, fax 303/447-8365.

Click here to enlarge image

Soft CMP pad
The Right Pad 813 is a highly porous, soft pad for CMP processes. Its structure addresses many of the problems of CMP performance, such as erosion, uniformity and planarization, and it achieves high removal rates combined with low slurry consumption. The pad offers superior planarization of tungsten plugs in tight arrays by reducing the erosion of the separating dielectric material, silicon dioxide. Right Pad 813 is made of nonwoven polyester fibers that are then coated with polyurethane, creating a more porous and softer pad. The material allows the transport of aqueous solution throughout a continuous pore structure to regulate surface chemistry during CMP. The pad's structure and performance charateristics achieve an increase of more than 100% efficiency from the slurry, and it can cut slurry consumption by 50% while giving the same removal rate as other CMP pads. Thomas West Inc., Sunnyvale, CA; ph 408/481-9200, fax 408/481-9212, [email protected].

Click here to enlarge image

Scroll pump
The SH-100 Scroll Pump is a high-performance, dry vacuum pump that delivers cost-effective, hydrocarbon-free hermetic operation for applications that include semiconductor manufacturing and analytical instrumentation. Based on the field-proven TriScroll 300 and 600 primary vacuum pumps, the SH-100 is small and light, and operates more quietly and with less vibration than diaphragm pumps and at a lower base pressure — <50 millitorr — than other scroll or diaphragm pumps. Pumping speed is 100 liters/min. Varian Vacuum Technologies, Lexington, MA; ph 800/882-74426 or 650/213-8000, www.varianinc.com.

Click here to enlarge image

Front-end testing of flash memories
The T5771 Front-end Flash Memory Test System has the ability to test up to 128 devices simultaneously. To perform functional testing on next-generation flash memories, which possess ever-accelerating data rates, the T5771 is capable of testing at up to 100 MHz with an overall timing accuracy of ±0.5 ns. With two test stations that leverage this company's proprietary "test array architecture," the new system offers a number of other functions to boost test throughput, such as the ability to test or mask defective blocks on a block-by-block basis. The system also incorporates improved Flash Match circuitry that reduces programming overhead by one half that of the preceding system. Furthermore, thanks to extremely dense packaging that uses highly integrated ASICs and multi-chip modules, the T5771 has a compact design that provides four times the simultaneous testing capacity of its predecessor, per area. Advantest America Inc., Santa Clara, CA; ph 408/988-7700, fax 408/988-3950, [email protected].