Issue



SEMICON West 2001 Product Panorama


07/01/2001







PRODUCT PANORAMA

Click here to enlarge image

Lithography process design and optimization
LithoCruiser is the first toolset to allow real-time viewing of lithography process windows based on simulation of circuit features. It enables process development and manufacturing integration engineers to evaluate instantly almost all photomask and wafer-imaging variables — from OPC treatment strategies to defocus, exposure, lens aberration, numerical aperture, and illumination. New deep-submicron lithography processes can be developed faster than ever before, reducing "time to yield" and "time to volume production" for new IC technologies.
ASML MaskTools, Santa Clara, CA; ph 408/855-0500, www.asml.com.

Click here to enlarge image

CMP system for 300mm
The PM300 APOLLO CMP system features automatic process control; automatic wafer rework; stress-minimized dry-in/dry-out wafer processing; advanced post-CMP cleaning, including brush scrubbers, chemical (also HF) cleaning, and spin drying; and remote system control/maintenance. For 300mm manufacturers that use their own systems for wafer cleaning and drying, this company has developed a new wet-out tool based on the PM300 APOLLO.
Peter Wolters CMP-Systeme, Rendsburg, Germany; ph 49/4331-458-311, fax 49/4331-458-333-310, [email protected], www.peter-wolters.com.

Click here to enlarge image

Single-wafer wet cleaners and processors
The Trilennium 3200 series processors, designed for 200mm wafers, are available with up to five processing stations for maximum throughput and multifunction flexibility. The systems allow clean, coat, develop, etch and strip processes in a completely dry-in/dry-out platform. The single-wafer systems support continuous flow manufacturing or processing on demand for batches (while minimizing chemistry usage and disposal). The systems can be used for both maximum throughput — up to 100 units/hr — or for multiple applications in a single unit. The Trilennium 3200 series demonstrates 95% uptime with 500-hr MTBF. For cleaning, systems can be configured with patented double-sided PVA brush scrubs, precision single-wafer megasonic scrubs, and chemical processing with point-of-use, in-line dilution — and modified for any production level. For solvent processing, the systems use a completely dry-in/dry-out method combining immersion and single wet wafer processing. Regarding spray etch technology, each wafer is etched under the same conditions as every other, with on-demand, digital PC control over all operations. This PC control extends to coating and developing, providing comprehensive administration of all system operations for optimum uniformity and repeatability. Trilennium systems are available in ballroom or space-saving bulkhead constructions.
Solid State Equipment Corp., Horsham, PA; ph 215/328-0700, [email protected], www.ssecusa.com.

Click here to enlarge image

Fully automatic 300mm die attach system
The Swissline 9022 is a state-of-the-art platform that takes die attach performance and flexibility to the next level. Sophisticated wafer handling with a unique adapter system (patent pending), a 5-minute changeover to different wafer sizes, and minimal wafer exchange times during operation (two wafers are processed in parallel) result in a considerable productivity increase. An additional benefit for the customer is a new vision engine integrated into a graphical user interface. This modular concept allows handling of epoxy die attach applications on metal lead frames and organic substrates, as well as adhesive tape-based packages (e.g., LOC/BOC for memory devices), on the same platform.
Alphasem AG, Berg, Switzerland; ph 41/71-637-6363, fax 41/71-637-6364, [email protected].

Click here to enlarge image

Single-wafer wet processors
The Horwet wet processors are state-of-the-art wafer processing systems designed for future requirements of advanced sub-µm technology. The tools can be used for applications in wafer cleaning and wet processing, such as developing, etching, resist stripping, and metal lift-off. Two independent robots for cassette-to-cassette loading of the process chamber allow the use of different sender and receiver stations, i.e., wet stations, open cassettes, SMIF ports and FOUPS. The robots can transfer the wafers from and to an adjacent second Horwet processor for other applications. The process chamber features different horizontal positioning of the wafer for separation of the individual process steps, preventing cross-contamination. The new spin chuck with integrated wafer rotation system allows simultaneous processing of the front- and backside of the wafers. The patented STR-Spin technique within a N2-IPA atmosphere guarantees efficient drying at low spin speed. Computer supported parameter optimization ensures optimum process results with high yield and low chemical consumption.
Contrade Microstructure Technology GmbH, Wiernsheim, Germany; ph 49/7041-9600-0, fax 49/7041-9600-27, [email protected], www.contrade.com.

Click here to enlarge image

CVD TiSiN barrier process
The CVD TiSiN process provides customers with an optimized single system solution for the development of future sub-0.1µm copper/ultralow-k chip designs on 200mm or 300mm wafers. The new process is the key to the advanced capabilities of the Endura Electra Cu Integrated Barrier/Seed system. Targeted to provide good step coverage on the typically rough or uneven interconnect sidewalls presented by the new porous ultralow-k (k<2.2) dielectric materials being studied, the low-temperature CVD TiSiN process allows >85% sidewall and bottom coverage in small, high-aspect-ratio features. Providing a thin, conformal film, the CVD TiSiN process allows high copper conductivity of the interconnect structure, yet provides an excellent barrier to prevent copper diffusion into other areas of the device. The process, which uses the production-proven TxZ chamber hardware, has been fully integrated with this company's SIP PVD copper seed layer processes on the Endura Electra Cu Integrated Barrier/Seed system. The system has also been integrated with the Electra Cu ECP copper fill and Mirra Mesa CMP processes for an optimized metallization sequence.
Applied Materials Inc., Santa Clara, CA; ph 408/748-5227 or 408/563-0647, www.appliedmaterials.com.

Click here to enlarge image

FOUP buffers
The FoupServer series are 300mm FOUP buffers that integrate local buffering into bottleneck and high-throughput tools to improve overall fab equipment effectiveness. The FoupServer 1200 buffer stores up to 12 FOUPs, and configurations support FOUP delivery by overhead transport, automated guided vehicle, or manual loading. FoupServer buffers provide a standard interface for two PDOs and feature Autocalibration technology, e-Diagnostics, and a SECS-II command interface. A BXi motion and machine controller contained within the buffer provides I/O, servo drive, and control functions. Autocalibration technology eliminates time-consuming manual teaching of buffer station, loadport, and PDO positions, accomplishing buffer calibration automatically in minutes.
Berkeley Process Control Inc., Richmond, CA; ph 510/236-3333, [email protected], www.berkeleyprocess.com.

Click here to enlarge image

4 kHz argon fluoride laser
Operating at a 4 kHz repetition rate, the NanoLith 7000 is an ArF laser that is designed to support the highest scan speeds for maximum productivity and wafer throughput. Improved thermal management and optimized gas flow in the discharge chamber allow the 7000 to deliver 20-Watts average output power at up to 100% duty cycle. Equally critical is the ability to provide full, high-contrast imaging capability for 200mm and 300mm lithography steppers and scanners with >0.75 numerical aperture (NA) lens designs. The NanoLith 7000 delivers this superior level of spectral performance through a highly line-narrowed bandwidth <0.35 picometers (pm) at full-width, half maximum (FWHM) and 0.95pm at 95% energy. All of this, coupled with a new, very fast, high-resolution wavelength stabilization module, allows for tight control of dose exposure and laser wavelength. Built-in laser metrology delivers pulse-to-pulse data acquisition and feedback control to minimize transient wavelength instabilities, enhancing exposure latitude and CD control. Current beta tests show that the 7000 offers an 80% reduction in the cost of consumables when compared with its predecessor, the ELX-5000A.
Cymer Inc., San Diego, CA; ph 858/385-7139, [email protected], www.cymer.com.

Click here to enlarge image

Automatic ball bonder
The Maxµm is an automatic ball bonder that offers 65 msec standard wire cycle speed, which equates to >15 wires/sec, and supports 45µm production level process capability. A high-performance X-Y table offers the largest available bonding area (56 x 66mm), to meet the cost reduction requirements for large strip matrix packages. Very good positioning accuracy at full bonding speed results from high-performance linear voice coil motors. A new Precision-Touch bondhead includes a Z-axis link that is engineered to reduce impact forces for sensitive die structures while allowing high accelerations during looping motions. A µT-Sonics ultrasonic transducer reaches the next level of miniaturization while delivering a wide range of production processes. Pro-Pulse wire clamp technology further enhances productivity by reducing wire cycle time, allows a wide variety of looping processes, and decreases maintenance costs. Enhanced dual mag ultrafine pitch optics are equipped with a standard third programmale LED source for extended illumination, providing die-tilt-tolerant imaging and satisfying other operation requirements during setup and on production runs. Combined with S-Scan advanced imaging technology, image acquisition and pattern recognition system electronics can run vision algorithms up to 40% faster.
Kulicke & Soffa Industries Inc., Willow Grove, PA; ph 215/784-6818, [email protected], www.kns.com.

Click here to enlarge image

Modular pumping station
TurboCube is a complete pumping station in a compact cube design that adjusts quickly and flexibly to almost any high vacuum task. It combines the turbopump and backing pump with all other components in a fully automatic unit that is ready for connection. Housed in a sturdy casing with all connections at the back, the "magic cube" owes its adaptability to its modular design. More than 100 basic combinations of turbo/backing pumps and approximately 1900 versions are available when using the accessory program. The result is a large application spectrum, including R&D, accelerator technology, analysis, surface physics, vacuum process technology, electron beam welding, and helium leak detector systems. With a pumping capacity from 33 to 500 l/sec, the station guarantees a hydrocarbon-free vacuum. The turbopump and turbo controller have been integrated together in a pumping station for the first time, allowing optimum programmability of the vacuum parameters in addition to the flexible adaptation to any application. The use of an additional control unit is superfluous. Cable assembly and installation are also eliminated.
Pfeiffer Vacuum GmbH, 49/6441-802-169, [email protected], www.pfeiffer-vacuum.de.

Click here to enlarge image

Liquid particle counter
The Ultra DI-30 is the first available liquid particle counter capable of sizing and counting particles as small as 0.03µm. The Ultra DI products, including the popular Ultra DI-50, have been specifically designed to monitor particle contamination in ultrapure water systems. The large sample volume provides increased statistical data for unsurpassed performance (Ultra DI 30 = 1.2 ml/minute). Cosmic ray elimination has recently been added to the Ultra DI family for ultralow zero counts, improving measurement precision in ultrapure DI systems. Communication via 4-20 mA offers easy integration into existing facility management systems, and Ethernet communication utilizes existing networks, resulting in lower cost of ownership. Process control is made easy with PMS FacilityNet software, which provides alarm settings, status conditions, sensor setup, and on-line help.
Particle Measuring Systems, Boulder, CO; ph 303/443-7100 ext 258, fax 303/449-6870, [email protected].

Click here to enlarge image

Next-generation metrology systems
The S200/300-ultra laser spectroscopic ellipsometer is the next generation of S200/S300, offering higher performance to both current-generation and next-generation semiconductor manufacturing. It has a modular platform, multi-wavelength high resolution mode (mw-HRM), and a new small spot high repeatability mode that has a 5 x 10µm measurement spot size, allowing more repeatable measurements of ultrathin gate oxides including oxide-nitride-oxide stacks and non-nitrided silicon dioxide under a polysilicon gate. The new system also incorporates novel proprietary modeling algorithms that provide superior ability to monitor, develop, and control organic low-k and new ultralow-k ILD materials. MetaPULSE II, a re-designed, second-generation MetaPULSE system for 200 or 300mm wafers, incorporates the latest developments in picosecond sonar-based metal-film metrology. Many new features of the MetaPULSE II are the result of co-developments with customers who have been using MetaPULSE to prototype next-generation processes. MetaPULSE II features higher throughput, new measurement capabilities, a smaller footprint, and easier serviceability than first-generation equipment.
Rudolph Technologies Inc., Flanders, NJ; ph 973/691-1300, fax 973/691-5480, www.rudolphtech.com.

Click here to enlarge image

ArF scanner
This ArF scanner, the S306C, is a fourth-generation tool that has a resolution of 100nm and a numerical aperture >0.75. Its throughput is 146 wafers/hr (200mm) and 90 wafers/hour (300mm). The system's overlay accuracy is 25nm or better. With a field size of 25 x 33mm, the new S306C is ideal for mix-and-match applications utilizing this company's 205C KrF scanner and the new NSR-SF 100 series scan field stepper.
Nikon Precision Inc., Belmont, CA; ph 650/508-4674, [email protected], www.nikon.com.

Click here to enlarge image

BGA sphere placement
The Matrixx BGA sphere placement system can place 0.012-0.030-in.-diameter spheres and achieve a yield up to 99.7%. It is designed to increase yield through an innovative positive pin placement and 100% vision inspection system. The vision system examines the BGA package for offset correction to ensure the accurate application of flux, inspects the loaded sphere carrier, and aligns the BGA package to the carrier for precise sphere placement. The vision system then inspects the array after placement. The system works by moving the BGA package to a fluxing gantry on a BGA strip, Auer Boat, or JEDEC tray, where transfer pins apply the flux. Trays then move to a second gantry where solder spheres sit in a pre-inspected carrier above the BGA package. After the carrier and BGA package are brought into alignment, placement pins provide positive force individually onto each sphere to push the sphere down to the BGA's pads. As the spheres are pushed down through the carrier, the carrier guides them to precise alignment, through the flux and onto the BGA pads. By placing it this way, the sphere is pushed through the flux and is in contact with the pad, preventing the sphere from floating on the flux at reflow. This positive placement method provides higher precision by eliminating the problems of a gravity-feed, free-falling sphere placement system.
Speedline Technologies Inc., Franklin, MA; ph 508/541-4749, [email protected], www.speedlinetechnologies.com.

Click here to enlarge image

SOI cleaning/bonding system
The CL200 Cleaner/SOI Bonder is the first system that cleans, dries, aligns and bonds in one closed chamber with specific atmosphere. The system is specially designed for creating silicon-on-insulator (SOI) substrates, semiconductor materials for leading edge devices such as low-power and high-speed LSIs, smart sensors, and smart power devices. The CL200 facilitates SOI production by minimizing wafer contamination, resulting in significant bond defect reduction and yield increase. SOI wafers are joined together by silicon fusion bonding, a method that brings into contact two hydrophilic, mirror-polished, flat and clean silicon surfaces and anneals them at high temperature. The CL200 processes two wafers simultaneously without separation between process steps. The closed chamber acts as splash protection and creates a minienvironment with a controlled nitrogen atmosphere for optimum process control. The final cleaning is performed by megasonic activation. The system has demonstrated the capability to remove fine particles >0.1µm from the wafer surface. The drying step is performed by spinning the wafers at high speed and incorporating infrared-heating. Alignment of the wafers is created by floating each substrate to reference pins at the flat and the edge. The CL200 initializes prebonding by performing a point contact at the edge or center position, depending on the required process.
Suss MicroTec AG, Munich, Germany; ph 49/89-32007-237, [email protected], www.suss.com.

Click here to enlarge image

Portable leak detectors
Model MS-40 portable leak detectors provide fully automatic operation, tuning and calibration for hundreds of applications, including dry pump models for clean oil-free testing. It is four times faster than conventional portables. Simple one-button startup brings users from a cold start to full operation in <3 min. Testing can begin from atmospheric pressure, with test results as fast as 1.5 sec. These detectors provide the highest accuracy, using dual magnetic sector mass spectrometry to locate and quantify even the smallest leaks.
Vacuum Instrument Corp., Ronkonkoma, NY; ph 631/737-0900 ext 113, [email protected], www.vacuuminst.com.

Click here to enlarge image

Ellipsometer
eflectometer

The PQ Ruby Sub'tomic ellipsometer
eflectometer has been tuned specifically to the measurement of ultrathin gate oxides. It is the first of a range of dedicated application-specific metrology tools being developed from this company's standard range with the aim of optimizing performance and reducing metrology-related bottlenecks in semiconductor production. In the PQ Ruby Sub'tomic, the existing repeatability of 0.04-0.06Å for the standard PQ Ruby has been improved to 0.025Å. The instrument is therefore already ahead of ITRS (International Technology Roadmap for Semiconductors) requirements. The system comes with the company's easy-to-use Semi E95-0200-compliant PQ Diamond software.
Philips Analytical, Almelo, The Netherlands; ph 31/546-534-386, [email protected], www.analytical.philips.com.

Click here to enlarge image

300mm solution for die bonding
This 300mm solution for the 2008 die bonding platform is an upgrade kit that allows semiconductor backend manufacturers to easily configure their existing 2008 die bonders into 300mm wafer-handling die attach equipment. This modular solution provides chipmakers and assembly houses with the flexibility to align resource investment with the actual pace of marketplace transition to 300mm. The new 2008xP3 handles wafer sizes from 150-300mm with a loading port compliant to Semi Standards E 15.1. The 300mm wafer technology is based on this company's proven horizontal wafer-handling system, which is a prerequisite for processing the smallest die and wafers with low adhesive tapes. The direct loading of the wafer cassette into the expansion unit simplifies the process and therefore enhances its reliability. With a different module configuration on the 2008 platform, manufacturers can run 300 mm wafers on flip chip and smart card applications.
ESEC, Phoenix, AZ; ph 480/893-6990, fax 480/893-6793, [email protected], www.esec.com.

Click here to enlarge image

Bare/filmed wafer defect inspection
The WM-3000F is a modular-designed bare/filmed wafer defect inspection system that focuses on the rotation-based inspection of 200mm and 300mm unpatterned wafers. With up to five open cassette stations, FOUP, or SMIF loading ports, the system performs wafer sorting according to the amount of specified defects or haze. In addition to sensitivity of 0.055µm and better, the WM-3000F can be optionally equipped with pure edge-grip handling, which also allows backside inspection of doubleside-polished wafers without additional loading. The patented MBA (simultaneous usage of multiple laser beams) features the most sensitive and repeatable COP-LPD-MicroScratch separation method available in the ultrawide size range of 0.073-5.0µm in one run at leading-edge precision of x/y location. MBA detector beam technology also covers the demands of the latest thin film processes. Normally, brightfield inspection systems are used for pit detection, and the WM-3000F can lower costs by inspecting pits on, for example, W-layers on monitor wafers.
Topcon Corp./Macrotron Systems, Munich, Germany; ph 49/89-45111-146, fax 49/89-45111-105, [email protected].

Click here to enlarge image

In-line particle analyzer
The iSPEQ particle analyzer offers automatic in-line measurements of slurry particle size distributions (PSDs). The system can measure PSDs in oxide, tungsten, polysilicon, and copper slurries without assitance and without disruption to fab production lines. The standard configuration has six pressurized sample points or can handle up to six different slurries. The system collects PSD information from multiple sample points and can provide useful information if the user's process is out of control or when maintenance is needed. By monitoring "slurry health" and controlling slurry conditions, iSPEQ can minimize scratching and nonuniform planarization in leading-edge CMP processes.
BOC Edwards, Chaska, MN; ph 978/658-5410, fax 978/658-7969, www.bocedwards.com.

Click here to enlarge image

Noncritical etch tools
The i900 Series system is the next generation of this company's noncritical etch family of tools. By introducing a PC-based operating system, this system improves upon the production-proven 900 Series with added programmability and process control. New data-logging capabilities allow in-line analysis of etch results. A faster, smoother wafer-transport system reduces overhead time by 8% for higher throughput. With 20x finer resolution for controlling power, gas and pressure setpoints, the i900 achieves industry-leading process repeatability and etch uniformity. Specified for 90-95% uptime, MTBF of more than 300 RF hours and MTTR of <4 hours, the i900 delivers the industry's lowest cost of ownership.
Tegal Corp., Petaluma, CA; ph 707/763-5600, fax 707/765-9311, www.tegal.com.

Digital MFC with enhanced temperature sensitivity
The enhanced temperature sensitivity offered by these advanced digital MFCs allows users to measure gas flow rates accurately when a change in ambient temperature occurs. The temperature coefficient has been reduced from 0.05% F.S/°C to 0.01% F.S/°C between 20-60°C, providing better results when controlling gas flow with changes in ambient temperature for CVD and other applications. The temperature sensitivity enhancement is provided by this company's temperature-measurement software. A multi-dimensional calibration of the MFC is provided; one dimension is flow rate and the other is temperature. Qualiflow, Montpellier, France; ph 33/4/6799-4747, [email protected].

Click here to enlarge image

Diode-pumped solid-state laser
The Inazuma is the first diode-pumped solid state laser to combine high output power with very good spatial mode, a combination that offers critical benefits in several key materials processing applications. Specifically, Inazuma delivers 8W of Q-switched output at 355nm, in a near diffraction limited beam (M2 <1.3). The key to its performance is the use of a periodic resonator. This is a folded, compact cavity containing two Nd:YVO4 rods. This physical arrangement allows both rods to be end-pumped, ensuring stable, single spatial mode output. The use of two rods in series results, however, in a much higher power level than from traditional end-pumped designs. The Inazuma is a fully sealed laser head pumped by FCbar modules, where the pump laser diodes are located in the power supply for simple replacement. This OEM laser produces >35W in the near infrared, 20W at 532nm, and 8W at 355nm.
Spectra-Physics, Mountain View, CA; ph 650/966-5877, dthomas@ splasers.com, www.spectra-physics.com.

Epoxy die attach
The Apollo 3000 epoxy die attach system features matrix bonding capability with vision target alignment at each bond site. Die placement accuracy of ±25µm coupled with positive displacement, high-speed epoxy writing and gripper indexing provide very good flexibility and throughput. The system is 300mm-capable and has touch-screen programming.
ESC International, Ivyland, PA; ph 215/682-9300, fax 215/682-9318, [email protected].

Automated visual wafer inspection
The WAV 1000 is designed for the inspection of active die, probe mark, ink dot, and 2D/3D bumped wafers. By automating defect detection, the WAV 1000 not only provides quantifiable improvements in accuracy and throughput, but also reduces inspection costs for the manufacturer.
Semiconductor Technologies & Instruments Inc., Plano, TX; ph 972/461-0396, fax 972/461-0399, e-mail [email protected], www.sti-i.com.

Yield analysis software
Klarity ACE 5.5, the latest version of this company's yield analysis software, incorporates several new features that greatly improve ease of use and reduce analysis time by more than 30%. It can differentiate between random and systematic yield problems, providing users with the data they need in order to take appropriate corrective measures. Its new spatial and equipment sensitivity features facilitate analysis across multiple wafer lots and help users to identify more quickly the source of a yield problem. With Klarity ACE 5.5, users can also schedule yield analysis in advance so that data from specific wafer lots can be analyzed automatically without requiring the wafers to be manually taken offline.
KLA-Tencor, San Jose, CA; ph 408/875-5473, [email protected], www.kla-tencor.com.

Optical digital profilometry
Optical digital profilometry (ODP) is an industry-leading metrology technology that uses incident polarized white light to create true device profiles, accurate critical dimensions, and multiple layer film thickness measurements of a wafer. The process is executed in-line, eliminating the need to break the wafer to perform the analyses. ODP can be used with the current metrology tools for in-line profile and critical dimension measurement. It will also be integrated with this company's processing tools to provide real-time process monitoring and control.
Tokyo Electron, Austin, TX; ph 512/424-1757, fax 512/424-1034, [email protected].

Click here to enlarge image

Localized electrostatic management
The iCON AeroBar system is designed for localized electrostatic management in tools, minienvironments, and workstations. With their larger surface area, 300mm wafers have increased capacitance and can therefore carry significantly more charge than a 200mm wafer. Furthermore, the larger size causes an increase in the triboelectric charging between wafers and other objects, such as cassettes and robotics. This leads to greater particle deposition per unit area on the larger wafer size. Included with the iCON AeroBar system is the 5585 iCON AeroBar, 5570 remote control, and 5520 controller. With an aerodynamic design and precise ion remote control, the 5585 provides complete and efficient ionization in smaller, enclosed environments. Using infrared communications technology, the 5570 allows wireless ion emission adjustments of AeroBars. The 5520 provides convenient, single-point control of up to 20 AeroBars. The complete system can assist tools to pass E78 and other industry electrostatic guidelines.
Ion, Berkeley, CA; ph 510/548-3640, fax 510/548-0417, [email protected].

Click here to enlarge image

Dissolved ozone sensor
The dFFOZ-LF dissolved ozone analyzer can accurately sense a wide range of ozone concentrations in DI water and other liquids at low flow rates. Designed for flows <1 lpm, it can measure concentrations from the single ppb to hundreds of ppm levels and can operate directly at a wide range of line pressures and temperatures. The sensor provides continuous, in situ, in-line measurement of dissolved ozone in liquids over the concentration range 0-150 milligrams/liter. It is a "smart" plug-in sensor with on-board calibration data, and it incorporates this company's dual optical-path sample sensing technology for high performance and virtual elimination of the need for a reference gas measurement. The dFFOZ-LF is "clean-ready" for high-purity semiconductor processes and can be installed in a full-flow recirculating stream.
IN USA Inc., Needham, MA; ph 800/798-4029 or 781/444-2929, www.inusacorp.com.

Click here to enlarge image

Epi qualification
The increasing demand for tighter process control of future devices creates a need to implement metrology to monitor process equipment. SiPHER is a nondestructive tool that can be used to optimize the Si epitaxial process and directly monitor product wafer quality. SiPHER has the capability to allow on-going monitoring of the epi-process, so improving overall equipment effectiveness. The use of the SiPHER method will also lead to faster process control feedback and early detection of defects and contamination, resulting in increasing the time between preventative maintenance operations, monitoring wafer quality during normal production, and also in reducing monitor wafer costs, which will be significant at 300mm. Some specifications are: wafer handling, 100-300mm wafers (robot ready); unique microzoom, 1µm resolution; measured defect types — slip, dislocations, SiOx precipitates, transition metal related defects, and OISFs; transition metal contamination (Cu, Fe or Ni, etc.) around 1 x 109 atoms.cm-2; and measurable defect density range — 100-108/cm-2.
Accent Optical Technologies Inc., York, UK; ph 44/1904-715500, [email protected].

Click here to enlarge image

Diamond pad conditioners and wafer grinders
Infinity diamond CMP pad conditioners use a leading-edge bonding system that produces low cost of ownership, high wafer yield, increased process reliability, and performance consistency. The P.B.S. process permanently bonds diamonds to the surface of the substrate, promoting high diamond exposure and virtually eliminating loss of diamond particles through pullout. These wafer backside grinding tools are precisely engineered to promote lower COO through longer wheel life, extremely low depth of sub-surface damage, high production up-time, reduced wheel dressing, lack of nitride passivation, extremely high die strength, and the strongest, premium quality diamond available. They are used for grinding silicon, silicon carbide, Ti-Al carbide, and other challenging substrates.
Abrasive Technology, Lewis Center, OH; ph 800/964-8324, www.cmp-pbs.com.

Click here to enlarge image

DC plasma generator
The Optima is a new line of direct current plasma power supplies with no electrical or mechanical taps. Continuous 7.5kW and 15kW output over a 4:1 range of impedance provides convenience, high throughput, and low cost of ownership. A new arc control design responds to micro-arcs automatically and returns the power supply to regulation in <7 microseconds, improving uniformity and yield. Micro-arc energy <0.004 Joules is independent of output power. Based on the DCG platform, the Optima has an industry-best ±0.01% repeatability.
ENI, Rochester, NY; ph 716/292-7440, fax 716/425-7839, e-mail [email protected], www. enipower.com.

Bridge tools for defect inspection
eview

The DR-300B defect review station and the IS-300B inspection station can process 200mm and 300mm wafers with no system reconfiguration, offering a cost-effective solution for facilities in transition to 300mm production. These state-of-the-art optical imaging platforms — including DUV/confocal imaging and auto alignment — can be supplied with various load port modules: FOUP, FOSB, and SMIF/minienvironment.
Kinetek Corp., Bothell, WA; ph 425/481-2443 or 845/268-7707, [email protected], www.kinetek.com.

Click here to enlarge image

In-process ESD event monitor
EM Aware provides real-time information that allows reduction of losses due to ESD and informs of problems with ESD-preventive measures, such as ionizers, wrist-straps, and grounding. The monitors provide actual verification of ESD environments. A network of EM Aware monitors gives an accurate picture of the ESD environment of the production floor and provides information for yield analysis and SPC. Miniature and unobtrusive (3 x 2.2 x 0.75 in.), the monitors feature high sensitivity and wide adjustment range, local and remote threshold setting, audio and visual indication of ESD events, event "hold" indicator, connectivity to virtually any data acquisition and facility monitoring system, and stand-alone operation.
Credence Technologies Inc., 831/459-7488, e-mail [email protected], www.credencetech.com.

Click here to enlarge image

Multifunction robotic system
Designed for high-volume, precision front- and back-end applications in the semiconductor and magnetic media environments, the RobotWorld system is a multifunction, precision processing work cell with support for up to six robotic modules operating in a shared work space using advanced planar motor technology. It can pick and place up to 10,000 parts/hr. With GridTrac optical position feedback, RobotWorld can provide micron-level precision with accuracy to 25µm or better. Applications include assembly, material handling, testing, and dispensing. Integrated vision is available for part location and inspection.
Motoman Inc., West Carrollton, OH; ph 937/847-6200, fax 937/847-3288.

SOI-Epi wafer
The ELTRAN (Epitaxial Layer TRANsfer) SOI-Epi wafer was developed to meet demands for faster and more energy-efficient semiconductor devices. A unique production process, centered on creating an extremely pure epitaxial silicon layer as the final SOI thin film, ensures excellent SOI layer quality, raising device performance to very high levels. Flexible layer thicknesses allow a wide variety of demands. In addition, ELTRAN has the ability to meet demands for larger wafers (300mm) and thinner layers (<100nm).
Canon Inc., Kanagawa, Japan; ph 81/463-53-9153, fax 81/463-54-1957, [email protected], www.canon.com/eltran.

Click here to enlarge image

Probe station for 300mm
The S300 Probe Station with integrated MicroChamber and AttoGuard technology now provides the lowest noise, leakage, and residual capacitance for probing 300mm wafers. The S300 also overcomes increased thermal chuck noise when scaling from 200mm to 300mm, by utilizing new high performance 300mm FemtoGuard triaxial thermal chuck technology. AttoGuard extended shield allows critical device capacitance measurements to be made down to attofarad levels, guaranteeing the full measurement range of the user's parametric tester. Now users can easily measure below 1fA and 1fF. AttoGuard technology further enhances measurement performance for both thermal to 300°C and ambient characterization, delivering very good low-capacitance measurements. The S300's patented MicroChamber is a low-volume, enclosed area around the chuck that provides a dark, moisture-free, EM- and RF-shielded environment.
Cascade Microtech, Beaverton, OR; ph 503/601-1181, [email protected], www.cascademicrotech.com.

Marking technologies
This company has available a variety of marking technologies, including pad printing; the OptiMark laser/ink marking system; and direct laser marking in several forms, including the SmartLase CO2 laser and a new direct fiber laser, which produces laser energy in the "green" (532nm) range of the spectral field, which is suited for optimal marking on silicon, metal and a variety of other surfaces used in the electronics industry.
Markem Corp., Keene, NH; ph 800/258-5356.

Click here to enlarge image

Active vibration control
The STACIS 2000 active vibration control system offers the most advanced solution for vibration control. With isolation starting at 0.6 Hz, the system provides the widest band of vibration isolation available, capable of isolating sensitive equipment from vibrations at any relevant frequency. Its revolutionary design combines active vibration control based on piezoelectric actuators with proven passive isolation technology. STACIS 2000 is ideal for supporting semiconductor inspection and manufacturing equipment both at the point of use and in OEM applications.
Technical Manufacturing Corp., Peabody, MA; ph 800/542-9725 or 978/532-6330, [email protected], www.techmfg.com.

Click here to enlarge image

Automatic mapping four point probe
The CMT-SR2000N automatic mapping four point probing system measures sheet resistance and resistivity of wafers up to 200mm in diameter. Control can be by computer interface or a user-friendly control panel for manual measurements. Advanced control software allows a wide range of measurements and analysis. Some specifications of the system are: measurement range of 1 mW/sq ~2 MW/sq (V/I = 25 mW ~44.15 KW); and accuracy of 0.5% for V/I of 5 mW to 10 KW at an ambient temperature of 20-25°C.
Materials Development Corp., Chatsworth, CA; ph 818/700-8290, [email protected],www.mdc4cv.com.

Click here to enlarge image

Metal film measurement
The CXR is an optically collimated console micro-beam XRF tool that uses this company's Optical Elements to produce 20-100µm measurement beams capable of simultaneous multiple metal stack film thickness and composition determination. The tool is designed for measurements on wafers, optical devices, and high-density packaging and substrate applications. Typical metal film measurements include Au, Pd, Ni, Sn, Sn-Pb, Pt, Va, Ge, Ti, Al, P, Cu, Cr and Fe. The use of optically colli-mated x-rays delivers precision gains up to 1000x that of earlier technology, allowing rapid noncontact, nondestructive metal film measurement in production. The CXR's beam generator redirects and refocuses x-rays to form a super-intense x-ray beam that results in a very brilliant micro-spot light source at the sample interface. This greatly reduces measurement time, while increasing accuracy, precision, and reproducibility. The CXR platform incorporates an embedded vibration isolation system and is wafer-handling compatible (150, 200 and 300mm).
NeXray, Ronkonkoma, NY; ph 631/738-9300 ext 2446, www.veeco.com.

Click here to enlarge image

High-volume resist asher
The Plasma System TePla Ash 800 is a highly productive batch wafer cleaning tool for leading-edge applications that can be used with copper technology. It is designed for 200mm wafers and uses microwave plasma generation for high-rate, damage-free ashing, offering a very small footprint of about 1m2 and lowest COO of any asher available. System features include automatic cassette loading, PC control with touchscreen monitor, and ceramic process chamber. Typical throughput is 80-120 wafers/hour, depending on the type of process.
TePla AG, Feldkirchen, Germany; ph 49/89-90503-126, fax 49/89-90503-323, [email protected].

Gas flow verification device
The Tru-Flo Mass Flow Verifier (MFV), a compact device that verifies mass flow controller (MFC) accuracy on semiconductor process tools, is installed directly on the process tool and delivers rapid, in situ verification of MFC accuracy to within 1% of Reading. As a result, the Tru-Flo MFV can prevent downtime due to unnecessary removal and replacement of suspect MFCs, improve process control, and provide almost immediate ROI. The design of the Tru-Flo combines a Baratron pressure sensor, shut-off valves, a small gas volume, and control electronics into a single, compact package. The Tru-Flo can be seamlessly incorporated into a process tool and can communicate with the tool's host computer via an RS-232 interface.
MKS Instruments Inc., Andover, MA; ph 978/975-2350 ext 5541, [email protected], www.mksinst.com.