Issue



Intel delays Ireland fab, but opts for 300mm


02/01/2001







WORLDWIDE HIGHLIGHTS
Intel delays Ireland fab, but opts for 300mm
Intel's new wafer fab under construction in Leixlip, Ireland, will begin production in 2H02, a year later than originally planned. The microprocessor manufacturer said the delay will allow it to launch production there on 300mm wafers.

The project, first announced last June, initially was planned as a 200mm fab. The new fab, known as Fab 24, will be Intel's second facility dedicated to 300mm. The fab is also expected to be the first high-volume 300mm fab in Europe.

In the US, Intel plans to invest roughly $1 billion to expand its Hudson, MA, facility, which was acquired from the former Digital Equipment Corp. in 1998.
______________________

Click here to enlarge image

Winbond redesigns 300mm plans
Citing the immaturity of 300mm equipment, Taiwan-based DRAM maker Winbond has canceled plans for two small-scale fabs in southern Taiwan's Tainan Science-based Industrial Park. In lieu of the small 300mm fabs, Winbond now plans one larger 300mm fab. As a result, groundbreaking for the project, originally scheduled for this quarter, has been postponed to allow time for the redesign. (Photo courtesy of Windbond)
______________________

300mm coming to Singapore
In a US$3.6 billion project, Taiwan foundry UMC plans to build a 300mm wafer foundry in Singapore's Pasir Ris Wafer Fab Park. Germany's Infineon Technologies AG will take a minority stake in the project, and the new company will operate as a subsidiary of UMC. Singapore's government has been successful in attracting other chipmakers and tool suppliers to the region, and UMC officials credited the local government for showing "tremendous vision" in attracting high-technology firms. The fab — Singapore's first 300mm facility — will be built in two phases, with a total planned capacity of 40,000 wafers per month. Equipment move-in for the first phase of the project is scheduled for 3Q02.
______________________

Infineon plans 300mm in VA
Infineon Technologies is expanding operations at its plant in Richmond, VA, where it will equip a new fab shell with 300mm tools. The fab shell is currently under construction.

In the first phase of the project, plans call for the new module to produce 256Mbit DRAMs using 0.14 micron process technology beginning at the end of 1Q02.

By moving DRAM production to the 300mm module, Infineon says it will be able to use existing 200mm capacity for production of communication ICs. The fab, which was built as the White Oak Semiconductor joint venture with Motorola, is now fully owned by Infineon, and has been renamed Infineon Technologies Richmond.
______________________

USA
Electroglas plans to buy Statware, a software company based in Corvallis, OR, which provides real-time analysis and graphical reporting of data from test equipment via Web browsers. With the acquisition, Electroglas expects to expand the capabilities of its SORTnet products used for the networking and control of wafer probers to include statistical process control tools as well as remote monitoring and e-diagnostics.

Asyst Technologies, Fremont, CA, plans to acquire the privately held Advanced Machine Programming, Morgan Hill, CA, and Semifab, Hollister, CA. With these acquisitions, Asyst will develop an automation foundry model aimed at tool suppliers for outsourcing of portal automation front-ends and various subsystems. The agreements are worth approximately $24 million in cash and an estimated 2.95 million shares of stock. The acquisitions are expected to close early this year.

Excimer laser firm Cymer, San Diego, CA, plans to acquire Active Control eXperts (ACX), a privately held supplier of precision motion control technology based in Cambridge, MA. ACX's technology can be used to develop adaptive "smart" structures for improving the stability and precision of motion control in next-generation semiconductor equipment. Cymer officials said the acquisition, which is expected to close early this year, is the first step in a strategy to assemble a portfolio of leading-edge technologies for the nanofabrication era. ACX will become a subsidiary of Cymer following the deal's closing.

Building on a 14-year-old supply alliance, Newport Corp., Irvine, CA, plans to merge with robotics and automation supplier Kensington Laboratories, Richmond, CA. The deal will extend Newport's robotics and materials handling expertise and is expected to close this quarter. Kensington, which had revenues of roughly $38 million in 2000, will be integrated with Newport's industrial and scientific technologies division. The merger follows Newport's acquisition of Sweden's CE Johansson AB, a privately held supplier of advanced metrology systems.

Metron Technology N.V., Burlingame, CA, has acquired Singapore-based Intec Tech-nology Pte. Ltd., a cleanroom garment supplier. Metron issued new shares in exchange for the entire issued share capital of Intec and its Malaysian wholly owned subsidiary. Intec's senior management will continue to serve in their current roles and will take on more responsibility as Metron extends its business into other territories.


November tool orders in the North American market showed a slowing of business, as did chip sales and fab utilization.
Click here to enlarge image

ChipPAC, Santa Clara, CA, has acquired VIKO Test Labs, Santa Clara, CA and Austin, TX, a division of VIKO Technology. VIKO Test Labs provides burn-in, electrical test, package qualification, and failure analysis services. This is ChipPAC's second acquisition since completing its leveraged buyout from Hyundai in 1999. ChipPAC acquired Intersil's factory in Kuala Lumpur, Malaysia, earlier this year.

Brooks Automation, Chelmsford, MA,
has acquired the Daifuku Co., Ltd. Business Unit, which distributes its AutoSimulations software products, and made it part of Brooks Automation-Japan. The acquisition gives customers a direct relationship with Brooks. The majority of the Daifuku division's sales, service, and support personnel have joined Brooks Automation-Japan.

Separately, Brooks said it has joined SEC/N and the Investment Recovery Association, two organizations for the recovery of investments in surplus and used remanufactured equipment. Brooks has generated over $3 million in incremental revenue in the past two years through asset reclamation programs. Brooks will participate in benchmarking programs for strategic asset management with several major semiconductor equipment and manufacturing companies, including Applied Materials, Canon, Intel, NEC, ON Semiconductor, Siemens, and Texas Instruments.

Motorola's semiconductor products sector has eased back on plans to outsource chip manufacturing to foundries. The chipmaker now plans to outsource 12-15% of chip production, down from earlier, more aggressive plans to outsource up to 50% of manufacturing, said company officials.

Isonics Corp., Golden, CO, is increasing capacity to produce silicon-28 epitaxial wafers to levels required to support typical commercial applications. Isonics has delivered pure silicon-28 wafers to AMD and a second microprocessor manufacturer and plans to enter into one or more licensing or development agreements by the end of April.

IBM and Infineon Technologies plan to jointly develop magnetic random access memory (MRAM), which uses magnetic, rather than electronic, charges to store bits of data. The firms expect MRAM devices will be able to store more information, access it faster, and use less battery power than conventional memory chips. MRAM devices also retain information when power is turned off, meaning products like personal computers could start up instantly, without waiting for software to boot up.

Following the completion of its acquisitions of Probe Technology and Cerprobe, back-end tool supplier Kulicke & Soffa Industries, Willow Grove, PA, has set up a new test division to market the offerings of the two acquired operations. The new division will also develop advanced semiconductor interconnect test solutions.

With funding in the form of an equity stake from Air Products and Chemicals, Lehigh Valley, PA, parts cleaning service provider Quantum Global Technologies plans to set up new regional Advanced Technology Cleaning Centers in the US in the coming year. The centers will be sited near key chipmaking hubs where Air Products supplies electronic gases and chemicals. Quantum established its first center in Colorado Springs, CO, last summer. Separately, Air Products is expanding its global capacity for silicon tetrafluoride, a key ingredient in ion implant processing. New capacity will come onstream this year at Air Products sites in Korea and in Catoosa, OK.

Pentagon Technologies, Fremont, CA, will open its fifth semiconductor parts cleaning and reconditioning facility in Portland, OR. The 30,000 ft2 site is set to be fully operational in May 2001 and will focus on cleaning and reconditioning parts and assemblies used for 200mm and 300mm wafers, sub 180nm fabrication techniques, and copper interconnect processing. The new facility will provide end-to-end parts cleaning and reconditioning services using leading-edge defect reduction technologies such as twin wire arc spraying and surface particle detection and reduction.

Standard MEMS and the Center for Automation Technologies (CAT) at Rensselaer Polytechnic Institute plan to develop a joint micropackaging research and development program. The alliance will focus on the development and prototyping of state-of-the-art micromanufacturing technologies. Initial emphasis will be on microphotonic and microfluidic systems because of their key role in the telecommunications and biotechnology industries. Plans call for a few other partners to join the alliance in the near future.

Spectra-Physics plans to open an industrial laser applications laboratory at its facility in Mountain View, CA next month. The lab will allow microelectronics manufacturers to investigate materials processing techniques using solid state lasers. In particular, Spectra-Physics hopes to aid the use of high power, ultraviolet lasers for high-volume micromachining tasks through this type of collaboration.

FEI Company, Hillsboro, OR, said it has received $20 million in orders for its new 300mm DualBeam system, which will be used for defect characterization and failure analysis for 300mm wafers. Some of the systems have been installed; others will be installed by the second quarter.

CVD materials supplier Advanced Ceramics Corp. has begun a $12 million expansion of its Strongsville, OH, site to increase capacity for CVD operations. The plant expansion will be completed in two stages; the first stage, which includes the CVD operations, will be completed in April.

Semiconductor inspection equipment supplier Olympus Integrated Technologies America Inc., (OITA) has moved into new headquarters in San Jose, CA. The facility contains two cleanrooms designed for customer demonstrations and product development. OITA, a new subsidiary of Japan's Olympus Optical, officially launched operations last spring.

STEAG RTP Systems said it has received a multimillion-dollar order from a major Japanese chipmaker for eight of its 300mm RTP tools. This latest order strengthens STEAG's position as an RTP market leader in Japan, with an installed base of more than 500 systems. The systems will be used for multiple-chip products and dry RTP. Installations are underway.

Automatic test equipment supplier Credence Systems has received a $15 million order from BridgePoint Technical Manufacturing, Austin, TX, for multiple Quartet test systems. BridgePoint will use the systems to test medical and telecommunications mixed-signal devices.
______________________

JAPAN
Dainippon Screen Mfg. (DNS), Kyoto, and Ohio-based Ashland Specialty Chemical Co. will develop a new wafer cleaning system, which is expected to be ready later this year. DNS sources said the system in development is a single-wafer spin-cleaning system for polymer removal. DNS also plans to independently develop similar systems with other domestic and foreign polymer remover firms. In addition, DNS is developing oxide-etching equipment for 0.1µm device applications on 300mm wafers. The work comes as part of a collaboration with HF chemical supplier Daikin Industries, Osaka.


Orders for semiconductor equipment from Japanese producers rose 1.7% in October (see figure), but sales took a steep month-to-month decline to 130.2 billion yen, down 37.4% from September.
Click here to enlarge image

Amkor Technology, Chandler, AZ, and Toshiba Corp.'s semiconductor company have finalized an agreement to establish a contract semiconductor assembly and test operation in Japan. The joint venture company, Amkor Iwate Co. Ltd., was scheduled to begin operations last month at Iwate Toshiba Electronics Co. Initially, Amkor will own 60% of the joint venture; it will increase its stake to 100% after three years of operations.

Panasonic's semiconductor division, Matsushita Electronics, Osaka, is building a compound semiconductor manufacturing facility in its Uozu Plant, Toyama. The plant is scheduled to begin operations in June 2002 with a production capacity of 6000 150mm GaAs wafers/month. Matsushita will invest 22 billion yen (US$197.5 million) in the project, which is part of the company's effort to keep up with ongoing demand for mobile communication devices.

Semitool, Kalispell, MT, has chosen Toyoko Kagaku Co. as its exclusive distributor in Japan for its spin
inser/dryer and the STORM family of wafer container cleaning equipment. As the new distributor for these product lines, Toyoko will lead marketing, sales, and service efforts.

CMP slurry firm Cabot Microelectronics is expanding manufacturing capacity by 100% at its facility in Oaza-Kitakoyma Industrial Park in Geino, Japan. This marks the second expansion of the facility since its January 1999 opening. The project is slated for completion in July.
______________________

EUROPE
Lithography system supplier ASM Lithography, Veldhoven, The Netherlands, has signed up for an e-diagnostics pilot project with AvantCom Network. In the project, AvantCom will provide the infrastructure to connect multiple tools in ASML's demo lab in Tempe, AZ, with its headquarters in Veldhoven. The e-diagnostics project will enable ASML to track and analyze equipment operation and performance remotely.

The SEZ Group, Villach, Austria, has produced 300mm wafers as thin as 80µm. The company said the wafers have excellent uniformity and are four times stronger than those that have only undergone a grinding process to achieve that thickness. These results were achieved as part of the company's effort to enhance wafer-thinning techniques and to quantify strength enhancement of 200mm and 300mm wafers.

In a joint effort, ASM America and the German chip technology development center Innovations for High Performance Microelectronics (IHP) will commercialize silicon germanium carbon (SiGe:C) epitaxy technology, a process initially developed by IHP. The process is said to allow high-speed SiGe:C heterojunction bipolar transistors to be incorporated into standard and advanced CMOS devices.

ASM Europe B.V., a subsidiary of ASM International N.V., has signed a lease for an assembly and test facility in Almere, The Netherlands, where it will produce 300mm vertical furnaces. Representing an investment of US$8.7 million, the new facility is expected to double ASM Europe's capacity when fully operational.

Micronic Laser Systems AB, Taby, Sweden, has expanded its partnership with the Fraunhofer Institute for Microelectronic Circuits and Systems (IMS), to include all semiconductor direct write applications based on Micronic's use of spatial light modulator technology (SLM). Through the agreement, Micronic has a worldwide exclusive license from Fraunhofer IMS to use its devices and methods for direct write lithography.

In separate deals, Tower Semiconductor, Migdal Haemek, Israel, has entered into agreements with Israel Corp., Tel Aviv, Israel, Macronix International, Hsinchu, Taiwan, and QuickLogic, Sunnyvale, CA, to help build its new fab. Israel Corp. will invest $50 million in Tower's ordinary shares and will receive corresponding equity in Tower. Macronix will invest $75 million, receive equity, representation on the company's board, and committed production capacity in Fab 2. QuickLogic will invest $25 million and receive equity and committed production capacity. Fab 2, which was scheduled to begin production at the end of 2000, will produce up to 33,000 200mm wafers/month using CMOS technology from Toshiba.

In a new partnership, IBS (UK) Ltd. will promote, sell, and service TePla France's equipment within France's chip market. Specifically, IBS (UK) Ltd. will focus on marketing TePla's 300 and 800 plasma systems for resist ashing as well as TePla's Twin metrology systems.
______________________

ASIA PACIFIC
Korean DRAM maker Hyundai Electronics Industries Co., Ltd (HEI), is planning a spin-off from parent Hyundai Group during the first half of this year. The firm also has a plan to raise 3.5 trillion won (about US$2.9 billion) by the end of this year to help it pay down outstanding debt, some of which was incurred as part of the acquisition of LG's semiconductor operations in 1999. Following the spin-off, HEI said it will be a "shareholder's corporation" in which its board of directors will ultimately control operations.

Advanced Semiconductor Engineering (ASE) plans to open a new facility in Taiwan's Chungli Intelligent Industrial Park, where it will offer everything from wafer probing and material supply, to IC packaging, testing, and system assembly. ASE has invested US$800 million in the facility, which is expected to be completed in 2005.

In a $30 million investment, Peregrine Semiconductor Corp., a developer of ICs for the optical and wireless communications markets, is developing 0.25µm process technologies at its Homebush, Australia fab. A Class One cleanroom, already under construction, will include a wafer stepper, high angle ion implanter, vertical furnaces, rapid thermal annealer, and advanced metrology tools, all of which are capable of 0.25µm production. The firm has outlined plans to reach 0.15µm production.

Anelva, Tokyo, plans to add a second service site in Korea, followed by a second site in Taiwan in April. The company hopes to see overseas sales grow to account for 30% of total sales, up from the current 20%.

The electronic chemicals division of Ashland Specialty Chemical Company, has completed an expansion for photoresist stripper and etch residue remover manufacturing at its Ashland-ACT Korea Ltd. subsidiary in Pyongtaek, Korea. The expansion increases current capacity by 50%; an additional 30% capacity increase is slated for this summer.

____________________

Click here to enlarge image

200mm still the workhorse substrate
While 300mm wafer disclosures steal the spotlight, 200mm — and even 150mm— wafers remain the workhorse substrates of the industry. Strategic Marketing Associates, which presented this data at a Semi gathering last fall, says that in 2002, the industry will be churning out less than one million 300mm wafers/month. The marketing firm also notes, however, that in terms of square inches, 300mm capacity in 2002 will total nearly 20% of 200mm capacity. (Source: Strategic Marketing Associates).

____________________

Click here to enlarge image

TO B2B or not to B2B?
While the 'Net may help improve fab equipment efficiency and uptime, Tegal's Jim McKibben says there is not much room for e-commerce in the semiconductor capital equipment world. See Industry Insights on p. 152.

____________________

Click here to enlarge image

National policy needed in Japan
Without a supportive, coordinated business community and government, the Japanese chip industry is in jeopardy, says NEC Corp. chairman Hajime Sasaki. He adds that it is essential to determine a strategy for Japan that strengthens the bonds between research, business and government. See AsiaFocus on p. 58 for the full story.