Issue



News Updates


10/01/1998







NEWS

Expansions

Praxair, Danbury, CT, said it is expanding its gas and chemical services with Praxair Point One fab integration, which offers gas and chemical management from initial planning through startup. The offering is part of an overall shift within the industry to outsource gas and chemical management to suppliers. Praxair`s Point One service includes system design, integration, piping installation and qualification, gas handling and distribution equipment, on-site gas production, bulk atmospheric and process gas supply, continuous purity monitoring, and full on-site services.

PRI Automation`s Equipe Division is opening a new 50,000-ft2 facility in Mountain View, CA, and expanding production capacity by 50%. Manufacturing at the new building began last month, when the company ramped production capacity from 400 to 600 robots/month. The site also houses headquarters and engineering operations, and will serve as PRI`s West Coast corporate offices. Equipe`s existing R&D and test facility in Sunnyvale will also be retained.

The Micromanipulator Co., a maker of analytical probing stations, has completed a major expansion to its manufacturing and product development facilities in Carson City, NV. The expansion has increased its facility by more than 40%. The investment will provide manufacturing capacity needed for new products coming online for analytical probing technology for the next generation of semiconductor devices, said VP Ken Hollman.

Implant Center, San Jose, CA, a provider of ion implant processing services, has added a 34,000-ft2 facility to its corporate campus. New investments in ion implanters include multiple Eaton GSD series high-current implantation systems for 150- and 200-mm wafers. The new facility has the potential for an additional 100% increase in manufacturing capacity.

Air Liquide Electronics, San Francisco, CA, has completed the $5 million expansion at its Electronic Specialty Gas production facility in Morrisville, PA. The expanded plant covers 50,000 ft2 of production floor space, additional cleanrooms, and analytical laboratories. The plant includes an electronics cylinder preparation facility, which has begun shipping ultra-high purity specialty gas products. This facility includes a new 2500-ft2 cleanroom.

Cabot Corp.`s Microelectronics Materials Division, a supplier of CMP slurries, is expanding its corporate headquarters in Aurora, IL, to meet increased demand. The expansion will increase Cabot`s R&D and manufacturing space by 60%. The company is investing in new polishing and metrology tools that will be housed in the enlarged cleanroom, augmenting Cabot`s product development, customer service, and support capabilities.

Clariant Corp.`s AZ Electronic Materials business unit has begun work on a 70,000-ft2 manufacturing expansion of its Somerville, NJ, plant. The expansion will include high-purity blending, purification and packaging areas, a packaging cleanroom, and administrative offices. The operation is scheduled to be online by mid-1999. In addition, AZ`s new research and quality assurance cleanroom, now under construction in Somerville, is slated to open in November. Together, the projects represent a $43 million investment in Somerville.

Rodel Inc., a maker of polishing pads and other CMP products, is constructing an 80,000-ft2 manufacturing facility in Monroe, NC. The facility will incorporate clean manufacturing design concepts developed by Process Facilities Inc., Boston, MA. Rodel expects to take occupancy during 4Q98.

Microbar Inc., Sunnyvale, CA, a supplier of chemical management systems, has expanded its manufacturing center, located in its new 50,000-ft2 headquarters facility. The effort, a four-fold increase in Microbar`s manufacturing capacity, was a modular design buildout to optimize manufacturing flexibility.

BOC Gases, Murray Hill, NJ, a supplier of helium, has opened a new liquid helium distribution center in Bessemer, AL, near Birmingham. The center serves industrial and research customers. The addition of this center increases BOC`s US helium distribution network to 13 facilities.

Ausimont USA, Thorofare, NJ, a multinational fluorochemical producer, is building a tetrafluoroethylene monomer facility (TFE) and Hyflon MFA perfluoropolymer plant. Hyflon MFA perfluoroalkoxy polymer resins are used in applications in the semiconductor industry. Production start-up of the new plant is scheduled for 1Q01. Production capacities of 7500 metric tons of TFE and 5000 metric tons of fluoropolymers are planned. Initial investment in the new facility will exceed $200 million.

RF Micro Devices Inc. (RFMD), Greensboro, NC, a provider of radio frequency ICs for wireless communications, has opened its gallium arsenide heterojunction bipolar transistor fabrication facility. The opening completes the transfer of TRW Inc.`s proprietary GaAs HBT process, exclusively licensed to RFMD for commercial wireless applications below 10 GHz.

Asymtek, Carlsbad, CA, a supplier of automated fluid dispensing systems, is building a 46,400-ft2 facility near its headquarters to house administrative, engineering, and sales departments. Manufacturing operations will remain in the current facility. With this project, Asymtek will have 100,000-ft2 available at its Carlsbad headquarters.

Anorad Corp., Hauppauge, NY, a maker of linear servo motor-based motion solutions, has expanded its cleanroom manufacturing operations. Expansions include a large white room addition of 5000 ft2 for the manufacture of vacuum compatible stages and precision motor equipment for the semiconductor industry.

Relocations

American Precision Technologies Inc., Tempe, AZ, a designer of plastic components for semiconductor precision surface processing equipment, has moved to a new facility in Tempe over five times the size of its current facility. The new location will be a certified Class 100 cleanroom. The company plans to double its work force in the next few months.

Johnson Matthey Electronics has moved its headquarters to Eden Praire, MN, from Spokane, WA, to help streamline day-to-day activities. The company`s Semiconductor Packages and Printed Circuit Board groups, along with its largest concentration of employees, are based near Eden Praire.

The Society for Information Display (SID) has moved its headquarters to San Jose, CA, from Santa Ana. SID is a nonprofit international technical society formed to advance electronic displays and their applications around the world.

The offices of TOSOH America Inc., Atlanta, GA, is relocating to Tosoh SMD headquarters in Grove City, OH. TOSOH America is the holding company for Tosoh SMD, a producer of chemicals and high-purity materials. The move consolidates the resources of the two companies.

General Scanning Inc., Watertown, MA, has relocated its Laser Systems Division product development, manufacturing, and worldwide support headquarters from Somerville, MA, to a refurbished 78,000-ft2 facility in Wilmington, MA. The division provides systems to improve yield and throughput for semiconductor memory devices, flat panel displays, and linear and mixed signal electronics.

Awards

Ultratech Stepper Inc., San Jose, CA,a supplier of photolithography systems, has received an award from Willis Corroon Corp. and Industrial Indemnity in recognition of its outstanding accident prevention record. The award cites Ultratech`s continued commitment to employee safety and excellence in maintaining a safe work environment.

KLA-Tencor Corp., San Jose, CA, has received the first annual Supplier of the Year award from White Oak Semiconductor, Richmond, VA, a joint venture of Siemens AG and Motorola. KLA-Tencor helped White Oak achieve a fast production ramp.

Johnson Matthey Electronics (JME), Spokane, WA, a semiconductor equipment supplier, was presented with a performance award and named preferred supplier by Zetex Plc, Lancashire, England, a maker of ICs and surface mount devices. JME was recognized for its quality product performance, on-time delivery, and customer service.

Olin Microelectronic Materials, Norwalk, CT, has received an award from LSI Logic Corp. in recognition for the successful start-up of the chemical management services program at LSI`s Gresham, OR, fab. Olin was noted for its customer service, adherence to an aggressive start-up schedule, and overall ownership of the program.

Philips Semiconductors North America has presented its Supplier of the Year awards to its major suppliers. Praxair Inc., Danbury, CT, was recognized for overall performance and continuous improvement in the areas of technology, quality, reliability, dependability, and cost. Praxair provides Philips` Albuquerque, NM, facility with bulk and onsite atmospheric gases and process gases. Johnson Matthey Electronics received the award for sputtering targets, and was recognized as a leader in the Philips` Supplier Quality Systems certification program.

Tegal Corp., Petaluma, CA, has awarded its 19th annual Thinker Award to David B. Graves for his 12 years of work toward understanding the fundamentals and mechanisms of plasma etching, particularly his work investigating plasma modeling and simulation, plasma diagnostics, plasma-surface interactions, environmental aspects of plasma processing, and particulates in plasma.

Spartanburg, SC-based Contec`s Jack McBride has been named a Carolinas Region recipient in the Ernst & Young LLP Entrepreneur of the Year 1998 Award for Business Leadership in America. Contec, which manufactures cleanroom wipers and cleaning systems for critical environments, has experienced a 30% growth rate each year since it was founded in 1988.

Distributors

CMP polishing hopeful Aplex has chosen Prism Technologies to be its exclusive North American distributor for the new AVera tool. Aplex VP of marketing, sales, and customer support Rick LaFrance said the deal allows Aplex to "quickly reach North American customers with our technology and company story." Prism has offices in CA, TX, OR, and NJ; it currently reps lithography, wafer cleaning, and CMP products.

AMETEK Process Instruments, Newark, DE, has appointed two new exclusive international distributors for its UHP moisture analyzers. Osaka Sanso Kogyo, an affiliate of BOC Gases and a supplier of high purity gases and gas analysis equipment in Japan, is AMETEK`s distributor in Japan. SAES Getters, Milan, Italy, a supplier of gas purification equipment, is the distributor in Europe, CIS countries, and Israel.

Achievements

Applied Materials Inc., Santa Clara, CA, has shipped its 1000th dielectric etch MxP+ process chamber. The units were installed on more than 300 etch systems over a period of less than three years. Applied has also shipped its 100th Mirra CMP system to Hitachi`s Naka fab in Ibaraki, Japan. Hitachi uses Mirra systems in production for the planarization of dielectric films.

Mattson Technology Inc., Fremont, CA, has shipped its 500th Aspen Strip System to AMD Inc.`s Fab 25 in Austin, TX. The unit was selected for production and development of 0.23-0.35-?m devices. The company began production of the Aspen system in 1991.

AG Associates, San Jose, CA, a supplier of single-wafer rapid thermal processing equipment (RTP), has shipped its 300th Heatpulse 800 seriesRTP system to a leading Japanese chipmaker. The system is being used in metal silicide and implant annealing applications for volume production of 64-Mbit DRAMs.

STEAG AST Elektronik, Tempe, AZ, a supplier of rapid thermal process (RTP) equipment, has shipped its 100th SHS-2800 series RTP tool in the US market to White Oak Semiconductor in Richmond, VA. More than 40 US fabs are using AST RTP tools.

Wright Williams & Kelly, Pleasanton, CA, has shipped its industry standard cost of ownership (COO) and overall equipment effectiveness software TWO COOL to its 100th customer. TWO COOL was introduced to the market in 1995 at the request of SEMATECH, which saw the need for worldwide COO training and support beyond the scope of the limited membership of a consortium.

Flip Chip Technologies` new wafer-level technology- trademarked Ultra CSP - has achieved over 1000 hrs of thermal cycle testing with a 0.75-mm solder ball pitch, 6 ? 8 ball array test device, the current standard for flash memory. FCT is currently working with several flash memory manufacturers to qualify the Ultra CSP for use in cellular phone and other portable applications. The company expects the Ultra CSP to go into volume production in 4Q98, at which time FCT`s facility in Phoenix will have capacity of 4000 wafers/week.

US Filter Corp., Lowell, MA, is celebrating the 10th anniversary of its CDI (continuous deionization) systems. Since the system`s introduction in 1988, US Filter has installed more than 1200 systems for microelectronics, pharmaceutical/biotechnology, and power companies.

Austin Semiconductor Inc. (ASI), Austin, TX, a supplier of semiconductor products for military and aerospace applications, has received full QML certification. ASI was judged on its manufacturing capabilities, fab and wafer qualification program, die characterization process, inspection, test procedures, customer service, and other programs.

Name changes

Lepco Inc. and Luwa Bahnson`s cleanroom operations have become LuwaLepco Inc., a member of the Zellweger Luwa Group of companies. The cleanroom activities of both companies will be merged, and the headquarters located in Houston, TX. The merger provides clients with design and construction expertise in electronics facilities, in-house cleanroom component manufacturing, and filters and leak detection equipment.

Pacific Precision Laboratories Inc. (PPL), Chatsworth, CA, a provider of high-precision systems for the microelectronics industry, has changed its name to JMAR Precision Systems Inc. PPL became an integral member of JMAR Industries Inc. in 1993. The name change reflects new products derived from technologies developed at JMAR`s research division.

NEW PRODUCTS

300-mm ion implant

VIISta medium- and high-current serial implanters provide a platform for 0.18-?m production linewidths and have both 200- and 300-mm wafer capability. They achieve throughputs up to 250 wafers/hr while providing sub-0.10-?m particle control, high tilt angle flexibility, and the serial implant advantage of eliminating dummy wafers. The series facilitates the transition to 300-mm wafers by providing common end stations for both 200- and 300-mm, and a standard I300I factory FOUP automation interface option. Also, VIISta is equipped with VCS, an NT software interface and control system that uses adaptive processing for true "lights out" operation during implant. The system samples machine data every 50 milliseconds and interacts with the beam controls to optimize performance. Varian Ion Implant Systems, Gloucester, MA; ph 978/282-2523, fax 978/283-5391, e-mail [email protected].

PVD technology for 0.18 micron

INOVA`s Hollow Cathode Magnetron (HCM) source technology is a next-generation PVD system designed for =0.18-?m devices. Its ionized, high-density metal HCM source is simple in design and allows reliable and repeatable operation with optimal deposition rates and film qualities -features necessary for consistent deposition of high-quality metal films in very narrow and deep structures. INOVA provides the uniformity and conformality needed to apply PVD films such as Ti/TiN liner/barrier layers for tungsten plug and aluminum fill, as well as advanced Ta(N)/Cu barrier/seed applications for copper interconnects. Novellus Systems Inc., San Jose, CA; ph 408/943-9700, fax 408/943-3422, www.novellus.com.

300-mm platform asher

FUSION ES3 is a 300-mm platform asher with enhanced strip capability, for total resist and residue removal. An elevated high ash rate delivers high throughput and productivity. The system uses fluorine-enhanced chemistries and has the flexibility for novel nonfluorinated chemistries for conventional and dual damascene process flows. The ES3 features an in-house-esigned critical plasma source and process reactor (chamber) for optimal process control, flexibility, and speed. It also contains an advanced control system (Device

Net-based). Eaton Fusion Systems Div., Rockville, MD; ph 301/284-5506, fax 301/340-9351.

Defect review and classification SEM

SEMVision is a fully automated defect review scanning electron microscope (SEM) designed to be fully integrated into the defect reduction cycle for advanced production lines. It can be used for rapid in-line classification and for yield enhancement during process development. Multiple Perspective SEM Imaging is a technique that uses multiple detectors, providing enhanced topography, shape, and material information to give excellent SEM review. The high-resolution SEM imaging delivers automatic defect review and real-time classification at a throughput up to 300 defects/hr. For comprehensive defect material investigation, the system provides an array of engineering features, including an integrated optical microscope and a fast energy dispersive x-ray spectrometer. Applied Materials Inc., Santa Clara, CA; ph 408/986-7194, fax 408/986-7775.

300-mm wafer transfer and centering

A new end-effector method for the SVR 200/300-mm robotic systems, Smart Gripper, relies on robot centering and gripping of wafers, using proprietary technology to actuate the gripper and provide diagnostic feedback. The Smart Gripper apparatus centers by clamping radially on the wafer, using actuated contacts that have lines of action converging toward a predetermined central area or point in the process tool. This function provides centering capability independent of wafer fluctuations in their diameters. Previously, wafers moved with rapid acceleration but were limited by friction. The new system produces an increase to 1.0 g, giving a two- to threefold speed improvement. Smart Machines Inc., San Jose, CA; ph 408/324-1234 ext 137, fax 408/324-1966, www.smartmachines.com.

Wafer-thinning technology

The Tru-Etch 2000 wafer-thinning system eliminates backside wafer damage and provides a cosmetically smooth, stress-free surface. It requires no frontside wafer protection and operates at ambient pressure, increasing throughput and lowering cost of ownership. The system is based on Atmospheric Downstream Plasma (ADP) etching and noncontact wafer-handling technologies. ADP is a highly repeatable and reproducible isotropic etch process that removes a uniform layer of silicon from the wafer. It has high etch rates for common materials present on the backside of processed wafers, including silicon, silicon oxide, silicon nitride, polysilicon, and BPSG. Since ADP is a downstream process, it also eliminates the danger of plasma ion-induced damage. Tru-Etch 2000 can remove post-grind stress and damage, and thin wafers to <4 mils with very high uniformity and at production volumes. Tru-Si Technologies Inc., Sunnyvale, CA; ph 408/720-3349, fax 408/720-3334, e-mail [email protected].

Pod door opener load port

The PDO300 is a 300-mm pod door opener load port that combines high-reliability drive components with a unique modular design architecture. It complies with the latest revisions to SEMI standards, CE, and I300I guidelines. All drive and control modules are replaceable in 15 min or less without disturbance to the minienvironment, greatly improving serviceability. The PDO300 can be removed and replaced with no realignment or adjustment. Options include wafer mapping, E23 communications, a rotating kinematic coupling, and LonWorks. PRI Automation Inc., Billerica, MA; ph 978/670-4270, fax 978/663-9755.

Atmospheric pressure chemical deposition

The APT-6000 is an atmospheric pressure chemical deposition system designed for the deposition of TEOS/ozone dielectric oxides. It deposits both doped and undoped oxides for almost all key dielectric steps -shallow trench isolation, deep trench isolation, IMD, PMD, spacer, and passivation. The system optimizes gap fill performance by using proprietary ozone control and a wide temperature range, resulting in an extended process window. Also, system architecture provides high productivity through a simple multi-chamber, rotary table design. The APT-4300 is the 300-mm extension of APT-6000 technology, designed to meet the requirements of TEOS/ozone dielectric gap fill beyond 0.15-micron geometries. It incorporates such features as dummyless wafer processing, liquid injection, and improved cleaning methodologies. Quester Technology, Fremont, CA; ph 510/445-3364, fax 510/623-5295.

Lead bonder for ?TAB and ?BGA The 8070?TAB lead bonder uses a high-speed rotary bondhead to allow single-pass interconnection of both orthogonal and radial leads across the entire spectrum of ?BGA devices. The rotary bondhead orients the ultrasonic scrub to the lead axis for improved bond squash consistency. Based on the 8000 wire bonder platform, the 8070 features a fully programmable material-handling system and conversion times of <5 min between different leadframe types. The bonder delivers ?5 ?m (@ 3 ?) bond tool placement repeatability. The bondable area is 63.5 ? 63.5 mm and lead capacity is 1000 leads/process program. Material capabilities include tape ranging from 24 to 70 mm in width. The 8070 also accommodates magazines ranging from 31.8 to 88.9 mm in width, 127 to 273 mm in length, and 50 to 178 mm in height. Kulicke & Soffa Industries, Willow Grove, PA; ph 215/784-6818, fax 215/784-6284.

300-mm wafer surface profiler

The DEKTAK V 300-SL surface profiler -for process monitoring of wafers up to 300 mm in an automated environment -incorporates cleanroom engineering with robotic handling for FOUP and SECS/GEM communications with HSMS remote control operation. High-precision, stylus-based measurement technology delivers very good step height repeatability for monitoring etch and deposition process uniformity, as well as long-scan capabilities for measuring CMP planarity or thin-film stress on wafers. The linear encoded sample stage with 0.25-?m resolution, in conjunction with a versatile pattern recognition system for automatic alignment, ensures optimal sample positioning repeatability and high throughput. Veeco Process Metrology, Santa Barbara, CA; ph 805/963-4431, fax 805/965-0522.

Photoresist ashing

These two new photoresist ashers, the Jaguar 300 and Cheetah 200, have been developed to meet the needs of the 300-mm wafer transition and to meet the requirement for greater throughputs and smaller footprints in the 200-mm market. The Jaguar 300, for 300-mm wafers, has a throughput >175 wafers/hr for a typical process, with a footprint of 24 sq ft. The Cheetah 200, designed for 150- and 200-mm single-wafer processes, has a throughput >200 wafers/hr for a typical 1-?m-thick resist ashing process. Footprint is 18.5 sq ft. Both tools require rear access only for maintenance. Matrix Integrated Systems Inc., Richmond, CA; ph 510/243-2222, fax 510/222-7817.

300-mm RTP system

Starfire 300F is a rapid thermal processing (RTP) system for =0.18-?m applications on 300-mm wafers. Its features include: throughput up to 100 wafers/hr; temperature control to ?1?C, 1 ?, emissivity independent; multiple temperature sensing and control across the wafer; a FOUP configuration; an atmospheric, open-architecture platform; an advanced heater design that delivers the ramp rates required for 0.13 ?m (>100?C/sec); a small footprint and low cost of ownership; uniform
epeatable wafer processing; and a MESC-compatible interface. Starfire lets chipmakers convert a number of their furnace applications to RTP, including advanced dielectrics, pre- and post-tantalum pentoxide anneals, cobalt silicide, source/drain anneals, implant annealing, silicidations, thin oxides, and nitridation applications. AG Associates, San Jose, CA; ph 408/935-2299, fax 408/935-2740.

High-density dielectric etch

The TCP 9100PTX is a high-density dielectric etch system designed for production of 0.25-?m devices and beyond. Featuring the TCP source, this system provides very good center-to-edge uniformity and photoresist selectivity for demanding structures such as high-aspect-ratio contacts and self-aligned contacts. It is also optimized for low-k dielectric etch development. Reliability is improved by the incorporation of consolidated chillers. The system is configured on the small-footprint Alliance cluster tool platform. Lam Research Corp., Fremont, CA; ph 510/572-6677, fax 510/572-2935, www.lamrc.com.