Issue



Semicon West '99 Product Spotlight


07/01/1999







Automatic defect detection and classification

The LDS 3000, for automatic defect detection and classification, is an image-based inspection system that detects wafer defects on the fly. Random and systematic defects are classified as killer or non-killer and binned into defect types. The system allows cassette-to-cassette operation and 100% wafer inspection without operator interference. The resulting defect maps, including location and defect type, can be sent to an external defect management system for further analysis and archiving. This flexibility adapts the defect analysis tool to the particular requirements of many processes in both the front and back end of the wafer production line. Leica Microsystems Inc., Allendale, NJ; ph 201/236-5900 or 800/909-3935, fax 201/236-5917, e-mail [email protected], www.leica-microsystems.com.

CMP system with integrated cleaner

The Mirra Mesa CMP system integrates full dry-in/dry-out wafer cleaning capability for oxide, tungsten, or copper films. The system features all the technologies critical for post-CMP wafer cleaning; it has a footprint that is 30% smaller than alternative integrated solutions, while offering comparable throughput. Among the features that give very good post-CMP defect results are a high-power density megasonics bath, vertical wafer handling, and double-sided scrubbing in follow-up chemical baths. For ease of servicing, Mirra Mesa has been designed to allow convenient access to all its CMP processing and cleaning parts. Applied Materials Inc., Santa Clara, CA; ph 408/727-5555, fax 408/986-8352, www.AppliedMaterials.com.

Atomic force profiler for 200/300mm

Dimension Vx is designed for nondestructive measurement of dishing, erosion, and recess with both profiling and imaging of CMP on 200 and 300mm wafers. It is the first atomic force profiler delivering up to 100mm profiles for 0.18?m with true AFM measurement resolution of 2-10nm in-plane and <0.1nm vertical. The modular design incorporates cleanroom engineering with robotic handling and SECS II communications with HSMS remote control operation. The Vx features patented TappingMode scanning, ensuring completely nondestructive profiling and imaging with very low applied forces. OneScan profiling at up to 200µm/sec with 262,000 data points/scan allows measurement of 1nm to 100mm features in a single scan. Veeco Metrology Group, Santa Barbara, Ca; ph 805/967-1400 or 800/873-9750, fax 805/967-7717, e-mail [email protected], www.di.com.

Single-chamber resist and residue removal

The PEP Iridia module allows the related steps of photoresist removal and residue removal to be coupled within a single chamber, offering higher throughput, lower defect densities, and fewer wet clean process steps. Because it is a dry process, Iridia has lower cost of ownership than wet chemistries for such applications as post-implant resist removal and post-etch residue removal. The system couples new downstream directional plasma (DDP) technology with production-proven downstream microwave plasma technology. The new microwave Stinger source, which can be run independently or simultaneously, allows higher removal rates by the production of up to 1.8kW of efficient microwave power, while up to 500W of DDP power are coupled to the low-temperature platen. An overhead lamp tray rapidly heats the wafer for optimized bulk and implanted resist removal. Advanced chemistries ensure compatibility with copper and low-k dielectric materials. GaSonics International, San Jose, CA; ph 408/570-7177, fax 408/570-7530, www.gasonics.com.

Low-k dielectric processing

CALYPSO is a low-k system that offers a complete solution for spin-on dielectric processing for 180 and 130nm technology. It combines a production-proven platform with advanced dielectric coat and in-line cure technology, providing good process flexibility and control. In partnership with low-k material suppliers and other equipment vendors, this company provides complete process development, film integration, and long-term support for spin-on dielectric processes. CALYPSO is designed to support all existing and future spin-on materials. FSI International, Chaska, MN; ph 612/448-8066, fax 612/361-7964, [email protected].

High-resolution reticle inspection

The 365UV-HR system, for inspection of advanced DUV reticles, is designed for the very high defect sensitivity requirements of the 0.15 and 0.13µm generations. The system allows users of current reticle generations to achieve very high defect sensitivity on critical layers, essential when using low and very low k1 lithography techniques. The new instrument includes high NA optics, high-speed data preparation and rendering, and advanced defect detection algorithms for OPC and PSM reticles. The 365UV-HR is available as a new system or as a field upgrade of 300UV models. KLA-Tencor Corp., San Jose, CA; ph 408/875-6647, fax 408/875-4144, e-mail [email protected],www.kla-tencor.com.

Process monitor for copper CVD

The Orion Cu-CVD Process Monitor uses quadrupole mass spectrometry and has been extensively tested during continuous on-line monitoring of copper CVD up to 5 torr. Continuous monitoring of CVD processes with the Orion maintains repeatability and controls process drift. The Unibloc integrated inlet incorporates Auto-Purge when idle, preventing contamination of the mass analyzer. This minimizes sensor drift and provides repeatable data. Orion software incorporates wafer position signal and multi-event gas-species-specific alarms. The systems can be integrated with the process tool controller via a SECS-II or GEM interface. MKS Instruments, Andover, MA; ph 978/975-2350, fax 978/975-0093, e-mail [email protected], www.mksinst.com.

Dielectric etch system

Exelan is a next-generation, dual-frequency dielectric etch system that delivers very low cost of ownership for processing advanced o0.18µm devices. It features a single RF power supply and fixed gap chamber that minimize components. Hardmask open, inorganic and organic ARC etch, and photoresist strip are performed in situ with a single chamber. The system`s extensive process portfolio includes all dual damascene structures, contacts, vias, spacers, and passivation etch in doped and undoped oxides and low-k dielectrics. In some critical applications, throughput is >70 wafers/hour. Exelan also includes sophisticated closed-loop active RF and wafer area pressure control. Lam Research Corp., Fremont, CA; ph 510/572-6677, fax 510/572-2935, e-mail [email protected], www.lamrc.com.

CD-metrology tool

The Stylus NanoProfilometer (SNP) is an automated tool designed for in-fab use, allowing for recipe-level operation by fab technicians. The new technology combines elements of traditional scanning probe microscopy and stylus profilometry with new force and angle-controlled sensing technologies. SNP provides deep-submicron, true profile measurement of lines, trenches, and other features. The accurate profiles quantitatively characterize features without physical cross-sectioning. The SNP will serve as a development tool for next-generation ICs, as a calibration tool for CD-SEMs (adding accuracy to their precision), and as a "partner" to CD-SEMs in the fab. Current applications include measurements to evaluate focus/exposure matrix displays for photomask stepper characterization and three-dimensional CD metrology in the fab. Surface/Interface Inc., Sunnyvale, CA; ph 408/737-0285,fax 408/732-7191, www.surface/interface.com.

DUV coat/develop systems

ProCell is a next-generation 200/300mm tool designed to meet the needs of DUV lithography, bringing process module integration, wafer management, and process performance improvements to a higher level. The cell-based platform allows optimized module placement and the use of simpler robotics and software. The system eliminates unnecessary hardware, wafer moves, and erratic wafer timing, all of which negatively affect critical process control. ProCell specifications include: throughput of 50-120 wafers/hr (200 or 300mm); CD control, 5nm; up to two coat, two ARC, and three develop modules; up to 32 thermal modules; four dual end-effector robots; universal stepper interface; and four FOUP or SMIF pods.Silicon Valley Group Inc., San Jose, CA; ph 408/944-8751, fax408/434-0216, e-mail [email protected], www.svg.com

CVD WN film for DRAM capacitors

CVD tungsten nitride (WN), when used as an electrode for capacitors made with Ta2O5 dielectric, makes possible high-performance DRAMs. WN has the advantage of 10x lower leakage and higher thermal stability and oxidation resistance than other electrode materials, such as TiN. The 0.18µm trench structure with a 7:1 aspect ratio is deposited with 55nm 100% step coverage CVD WN film. This very good conformality can provide reliable manufacturing of next-generation memory cells; particles and repeatability are controlled to levels consistent with tight production requirements. The new film is available on the LYNX2 single-wafer cluster tool, a multiple film system with up to a four-module capability. Genus Inc., Sunnyvale, CA; ph 408/747-7140 ext 1407, fax 408/747-7199, e-mail [email protected], www.genus.com.

Automatic ball bonder

Model 8028 is an automatic ball bonder that delivers 60µm pad pitch mass production capability while maintaining high throughput and yield. Its 85 msec wire cycle time for standard profiles results in productivity 15% greater than its predecessor, the 8020. The new tool offers an increased bonding area (50 x 65mm) to accommodate larger matrix applications without sacrificing speed or accuracy. Material-handling options include flat boat, tape BGA, and factory systems interface with front, back, or top-load capability. A highly advanced motion control system provides the accuracy and precision needed for ultrafine pitch capability and high-performance BGA looping. The new lightweight X-Y table design achieves very high rates of acceleration while maintaining 0.1µm resolution. Kulicke & Soffa Industries Inc., Willow Grove, PA; ph 215/784-6818, fax 215/784-6284, www.kns.com.

300mm automated atomic force microscopes

Dimension 9300 Series atomic force microscopes (AFMs) are completely automated for on-line process monitoring of 300mm wafers. They require minimal operator intervention, with features such as automatic tip replacement and characterization, wafer handling, pattern recognition, automated measurement sequences, and data reduction
eporting. The tool is available in three configurations: the 9300TR for DRAM trench measurement, the 9300HSG for HSG electrical characterization/correlation for DRAMs, and the 9300DR for defect review for bare and patterned wafers and Å-level surface roughness. General-purpose configurations are also available. Designed expressly for 300mm fabs, the 9300 automates the entire measurement process - determining when the probe is worn, replacing it, and resuming operation without operator intervention. The tool is compatible with specifications for SECS II, SMIF, and review-tool defect map importation and scanning. Digital Instruments, Veeco Metrology Group, Santa Barbara, CA; ph 805/967-2700 ext 295, fax 805/967-7717, e-mail [email protected], www.di.com.

Ball attach processing for CSP

Capable of performing ball attach processing for next-generation chip scale package (CSP) technologies, including RDRAM-based micro BGA devices, the PowerPlace integrated ball attach line includes the VAi 6300 ball placement system, reflow oven, cleaner, and material-handling equipment. The system supports a wide variety of BGA formats, including single devices in boats or trays, and strip devices in magazines. In addition to CSPs, the VAi 6300 processes plastic BGA, ceramic BGA, tape BGA, and connector package types. RVSI Vanguard, Tucson, AZ; ph 520/297-2621, fax 520/544-0535, www.vai.net.

Loaders for wafer inspection

The NWL 860TMB and NWL 860INX wafer loaders, designed for the inspection of six- and eight-in. wafers, offer stainless steel construction, PEEK wafer contact points, and backside macro inspection. The 860INX is designed specifically for SMIF-type manufacturing environments, and a SECS II-standard data communication interface can be included. Along with the loaders are a new motorized stage and autofocus. The optional stage comes with a keypad, helping the operator to create recipes for inspection, incorporating all desired stage points. The autofocus unit ensures perfect image sharpness without need of manual focus by the operator. Both loaders can perform a full range of macro inspection tasks, including backside periphery and center chuck macro inspections. Macro inspection parameters, such as wafer rotation speed and tilt angle, can be set automatically or manually. Noncontact pre-alignment ensures contamination-free operation. The instruments secure the wafer safely, even in the event of an unexpected vacuum down or power down. Nikon Inc., Melville, NY; ph 800/526-4566 ext P8105, www.nikonusa.com.

Reliability lab toolkit

The S900 reliability toolkit is a flexible hardware/software package designed to provide rapid, economical reliability testing in semiconductor development labs. The kit combines advanced GUIs and specialized analysis capabilities with proven S900NT parametric test architecture and powerful KTE control software. The result is a package that supports a variety of diverse applications, from fast WLR tests for measuring variation in process reliability, to slower, more traditional reliability prediction tests designed to be conducted on many sites in parallel. S900 offers a variety of hardware and software options for building customized solutions for specific applications. Solutions that are supported include: time-dependent dielectric breakdown; hot carrier degradation; wafer level reliability; electromigration; process-induced charging tests using CHARM or SPIDER test wafers; charge pumping characterization; FLASH testing; and process modeling. Keithley Instruments Inc., Cleveland, OH; ph 800/552-1115, fax 216/248-6168, e-mail [email protected], www.keithley.com.

Post-CMP cleaner

CMC-475 post-CMP cleaner is specifically formulated to remove slurry particles and by-products of chemical mechanical planarization from wafers incorporating the latest copper interconnect and low-k dielectric technologies. It helps to reduce process cycle times, as well as downtime for cleaning and maintaining equipment. The solvent has a buffered pH that is slightly acidic and incorporates surfactant chemistry that quickly dissolves planarization slurry but does not corrode sensitive metal thin films such as copper, aluminum, and tungsten, or Ti, TiN, Ta, and TaN barrier films. Special additives in CMC-475 create a negative zeta potential to help repel slurry particles, copper oxides, and other by-products. Another benefit is reduced PVA brush loading from copper oxides and polishing slurry. CMC-475 can be used in all post-CMP wafer cleaning equipment, including single- and batch-wafer megasonic tools, and PVA double-sided scrubbers. It has a high flash point, allowing application over a wide range of temperatures (20-75?C). Copper etch rate is <0.01Å/min. Silicon Valley Chemlabs Inc., Sunnyvale, CA; ph 408/732-4700 or 800/408-2436, fax 408/732-0733.

CVD low-k barrier

By offering <4.6 k value, BLOk (Barrier LOw k) is an ideal material to replace nitride (k=>7.0) in the damascene stack. In addition to its low k value, it addresses other damascene integration challenges, including etch selectivity, which is typically twice that of nitride, and superior barrier properties for copper. BLOk`s process also overcomes adhesion issues by eliminating the copper silicide buildup that can prevent adhesion of nitride to copper. As well as conventional applications, the film can potentially be used as a low-k dielectric antireflective coating for DUV patterning of the damascene stack, since it has the required optical properties for 248nm light absorption. BLOk is initially being used on the DxZ chamber for existing installed base users; it will later be available on the ultrahigh-productivity Producer system. Applied Materials Inc., Santa Clara, CA; ph 408/727-5555, fax 408/986-8352, www.AppliedMaterials.com.

2-D and 3-D off-line failure analysis

The ?-3D Visualizer gives x-ray visualizations of planar electronic devices, providing an immediate insight into the 3-D structure of electronic devices, interconnection techniques, and PCBs (e.g., BGA, µBGA, flip chip, J-leads, CSP, MCM), or similar samples within a freely adjustable depth of inspection. Interfering background structures - generated by tracks or double-sided board assemblies, for instance - are eliminated. The system opens up failure analysis of solder joints otherwise hidden by underlying structures, or cold solder bonds in BGAs. The real shape of C4 or C5 interconnections is revealed. Cracked tracks in multilayer boards become visible, as well as the pad connection quality of pin through-holes. The ?-3D technique employs a tomosynthesis method that allows even larger objects such as PCBs to be analyzed easily. Feinfocus Rontgen-Systeme GmbH, Garbsen, Germany; ph 49/5131-7098-0, fax 49/5131-7098-80; in the US, ph 805/520-4626, fax 805/520-4624, e-mail [email protected], www.feinfocus.com.

KrF step-and-repeat system

The FPA-3000EX6 is the first KrF step-and-repeat system with a 0.65 NA ultralow-aberration lens. It can extend 5x reticle/ 200mm assets to the 150nm technology node, providing a lower-cost, high-performance DUV stepper option for chipmakers that want to pursue aggressive device shrinks but do not require the larger field size of step-and-scan tools. The FPA-3000EX6 lens is designed specifically for use with the IDEAL multilevel imaging technique. In anticipation of its use at resolutions <120nm, the new tool incorporates the same linear motor and vibration isolation technology that is found in the 5000 Series 200/300mm scanner platform. The FPA-3000EX6 can expose 117 wafers/hour (200mm). Canon USA, Semiconductor Equipment Div., Irving, TX; ph 972/409-7841, fax 972/409-7849, e-mail [email protected].

Epitaxial process control system

The QCS-7000 Series epitaxial process control system performs nondestructive doping concentration measurements of epitaxial wafers. The production-ready system provides fast throughput, full wafer mapping, and nondestructive technology to monitor and control the epitaxial process. It detects process excursions due to across-wafer uniformity, wafer-to-wafer uniformity, and epi reactor variations. Costs are reduced through better process control, elimination of costly C-V scrap wafers, elimination of chemical pre-treatment processing, and an increase in epi reactor utilization. The system supports p-type and n-type epi, wafers up to 300mm, and doping concentrations from 1012 to 1018 cm-3. QC Solutions Inc., Woburn, MA; ph 781/938-4030, fax 781/933-0675, e-mail [email protected].

Advanced cleaning system

ANTARES is a cleaning system that offers the advantage of one high-throughput 200 or 300mm single-wafer processing platform. The platform, currently configured with CryoKinetic cleaning modules or vapor etch modules - or a combination of the two - will ultimately accommodate other technologies as well. The system can be used in process lines to provide proven CryoKinetic-based particle removal or specialty vapor HF etch applications for pre-deposition native oxide removal and high-selectivity etching. FSI International Inc., Chaska, MN; ph 612/448-8066, fax 612/361-7964, e-mail [email protected].

Post-CMP critical cleaning

The Microclean PVA product line is designed for post-copper, post-tungsten, and post-oxide CMP critical cleaning. Manufactured using a proprietary process, these polyvinyl alcohol (PVA) brush rollers meet the increasingly stringent cleanliness requirements of advanced wafer manufacturing. Through reduced levels of trace metals and contaminants introduced to the post-CMP process, Microclean products im prove device yields and overall throughput. Rippey Corp., El Dorado Hills, CA; ph 916/614-7212, fax 916/939-4338, www.rippey.com.

300mm single-wafer transport

The FOUP (front opening unified pod) for ONE is an innovative solution for handling 300mm single test wafers. Without process disruption, it provides an alternative to the usual production batch carriers for test wafers, addressing storage, handling, and transportation with 100% compatibility with fab transportation systems, as well as fab materials execution systems. The individual micro-environment boxes prevent cross and backside contamination, improve storage density, and prevent contamination from extensive wafer sorting. They also minimize airborne molecular contamination (AMC) arising from transportation within the fab and between test and manufacturing facilities. The FOUP for ONE module is made from noncontaminating polycarbonate-based material that dissipates electrostatic charge, and it incorporates a front-side RF tag to facilitate single wafer tracking. The unit has transparent backside flanges for easy visual inspection, and top and bottom kinematic couplings to allow stacking of up to seven pods. An inert gas purge option guarantees an AMC-free environment. INCAM Solutions, Grenoble, France; ph 33/476-88-9365, fax 33/476-88-5139, e-mail [email protected].