Issue



News & Updates


03/01/1999







NEWS

New facilities

Applied Materials Inc. has opened its Equipment and Process Integration Center in Santa Clara, CA. The center houses equipment and processes needed for customers to develop and test a completely integrated multilevel metal copper interconnect process before installation in a fab.

Kobe Precision Inc., Hayward, CA, has opened what it says is the largest silicon wafer reclaim fab in North America. The 64,800-ft2 facility enables Kobe to process 90,000 wafers/month, in 200-mm equivalents. The new facility is capable of processing 150-, 200-, and 300-mm wafers.

AlliedSignal Electronic Materials, Sunnyvale, CA, is constructing a multimillion dollar low-k dielectric integration facility in Sunnyvale. The facility will be a Class 10 cleanroom featuring low-k spin coaters, curing equipment, and film characteriza-

tion tools.

Air Products and Chemicals, Lehigh Valley, PA, will more than double its tungsten hexafluoride (WF6) capacity

at its Hometown, PA, site by 3Q99. The move will bring the annual WF6 capacity at the site to 300,000 pounds. Demand continues for WF6 as the number of device layers continues to increase.

Millipore Corp., Bedford, MA, has opened its Allen, TX, facility for flow, pressure, and vacuum components.

The 196,000-ft2 facility, with 24,000-ft2 of cleanroom space, integrates the technologies of Millipore and its 1997 acquisition, Tylan General, a supplier

of advanced process management equipment.

Unitive Electronics, a maker of advanced semiconductor processing services that use flip chip packaging, has opened a 22,000-ft2 facility in Research Triangle Park, NC, for manufacturing and corporate offices. Services include production bumping, redistribution, and repassivation processes for BGA, CSP, and flip chip applications.

MGC Pure Chemicals America Inc., Mesa, AZ, a subsidiary of Mitsubishi Gas Chemical Co., has expanded its capacity for ultrapure hydrogen peroxide used in semiconductor manufacturing to 15,000 metric tons/year. The expansion will allow MGC to integrate new technologies and increased capacity to supply customers working on next-generation devices.

Eaton Semiconductor, Beverly, MA,

will construct a 50,000-ft2 facility, which will include a 45,000-ft2 Class 10,000 cleanroom, for its Implant Systems Division. The project, undertaken by Hodess Building Co., North Attleboro, MA, is scheduled for completion in May.

SACHEM Inc., Austin, TX, has completed its Clean-Flex manufacturing building for custom outsourcing projects. The 10,000-ft2 facility has cleanroom suites, separate atmospheric controls, separate raw material staging areas, and structural integrity to support process systems of up to 6000 gallons in each suite.

ADE Corp., Westwood, MA, a supplier of metrology and inspection systems, will consolidate its Charlotte, NC, operations and certain of its Milpitas, CA, operations into its Massachusetts facilities. The move is expected to save about $4 million/year in operating expenses.

Texas Instruments` fab in Lubbock,

TX, slated for closure last year, will not close, as Belgian holding company Elex N.V. has agreed to purchase the facility. The facility will now serve, in part, as a foundry for Elex customers. Dubbed X-Fab TX, the plant will work closely with another Elex foundry,

X-Fab Germany.

Financials

Deposition equipment supplier CVC Inc., Rochester, NY, has completed a private placement of $10 million with Advent International, a private equity investment firm. The funds will help support the company`s growth and product introduction plans, said CEO Christine Whitman.

SEMX Corp. said it is evaluating proposals from its lenders to extend its gold consignment and forbearance agreements until April 1. Both agreements expired in December. The company also plans to sell its Retconn connector business to Litton Systems, after signing a letter of intent with the firm. SEMX hoped to sell off a subsidiary to help pay down its $28.8 million in outstanding debt obligations.

Packaging equipment firm Integrated Packaging Assembly Corp., San Jose, CA, has been successful in securing a

$7 million bank line of credit and has obtained forbearance agreements from its secured creditors through May 31. The bank line, guaranteed by a third party, will finance operations and working capital needs.

Electronics manufacturer Solectron Corp., a provider of custom electronics manufacturing, has filed a registration statement with the SEC intended to provide the company flexibility to raise up to $1 billion. Solectron intends to use the net proceeds for capital expenditures and working capital needs.

Wet systems provider SubMicron Systems, Allentown, PA, will use proceeds from a recently completed $4 million private placement in 12% senior subordinated notes to fund its 1999 business plan. The notes, due 2002, include warrants to purchase 20 million shares at approximately $0.52/share. In addition, SubMicron has renewed its credit facility for an another year for up to $10 million with Greyrock Capital. It matures on January 1, 2000.

Compound semiconductor substrate supplier American Xtal Technology, Fremont, CA, has issued $11.6 million in variable rate bonds, and plans to use the funds, in part, to retire $4.6 million in existing long-term debt. The remaining $7 million will be used to replace monies allocated earlier this year to purchase the firm`s second facility and expand its current manufacturing facility. The bonds are due December 1, 2023.

Watkins-Johnson Co., Palo Alto, CA, has amended its shareholder rights plan to decrease from 15% to 10% the threshold level of common stock ownership that would trigger the issuance of share purchase rights. W-J has also increased the time frame for submitting agenda items for annual shareholders` meetings.

Cree Research Inc., Durham, NC,

has filed a registration statement with the SEC relating to a proposed public offering of 1.3 million shares of common stock. The company plans to use the proceeds for expansion of facilities,

debt repayment, R&D, and other general corporate purposes.

IMP Inc., San Jose, CA, a maker of analog ICs, has implemented a ten-for-one reverse split of its common stock. The split was effected to continue the NASDAQ listing which requires a minimum price of $1.

Thin-films equipment maker Genus is expecting order activity to pick up in the current quarter, and to have break-even performance in 1999, when revenues are seen climbing to $30 million. Recently, the stock price has nudged up over the $1 mark, after falling below NASDAQ`s $1 minimum bid requirement and threatening to cause the stock to be delisted. Since selling its ion implant operation - which accounted for more than two-thirds of annual revenues - to Varian in mid-1998, a smaller Genus has turned its focus to strengthening the remaining thin-films operation, eyeing opportunities in the capacitor, copper interconnect, and gate stack areas.

Top suppliers

The AZ Electronic Materials business of Clariant Corp. has received Philips Semiconductor`s Supplier Quality System certification and Statistical Process Control certification. AZ also received Harris Corp.`s Semiconductor Sector certification.

Varian Associates Inc., Gloucester, MA, has been named Top Equipment Supplier by Micronas Intermetall for supplying equipment to Micronas` new 150-mm wafer fab in Freiburg, Germany.

Credence Systems Corp., Fremont, CA, a maker of automatic test equipment, has been named Supplier of the Year by ISE Labs Inc., an IC testing laboratory. Credence was also named Capital Equipment Supplier of the Year by Adaptec, Milpitas, CA, for its support, service, and management.

Watkins-Johnson Co.`s semiconductor equipment group has selected Applied Science and Technology (ASTeX) as the preferred supplier of ozone equipment for its TEOS/Ozone CVD equipment. The two firms will jointly market ASTeX`s ozone products to W-J`s installed base.

ATOMIKA Instruments GmbH, Munich, Germany, has formed a new unit to serve customers in Taiwan. The regional branch allows ATOMIKA to provide "fast and reliable response on service calls," said Reinhard Luger, ATOMIKA`s managing director and chairman of the Taiwan branch. In addition to the Taiwan branch, ATOMIKA also has a local sales representative, ORIG-KEY Co. Ltd., serving the region.

ISO briefs

RF Micro Devices, a supplier of radio frequency ICs based in Greensboro, NC, has completed its ISO 9001 certification program and audit.

Consilium Inc., Mountain View, CA, has achieved ISO 9001 certification for the design, implementation, and servicing functions of its manufacturing execution systems and next-generation automated factory control products.

SOITEC, Grenoble, France, a maker

of silicon-on-insulator wafers, has achieved ISO 9001 certification from the Law Register Quality Assurance.

Unit Instruments Inc., Yorba Linda, CA, a supplier of gas flow systems,

has been granted an ISO 9001 registration for its Z-Bloc Modular

Gas Systems Division.

Olin Microelectronic Materials, Norwalk, CT, has received ISO 9002 certification for its on-site chemical management services business. The certification covers Olin`s service in inventory management, equipment operations, analytical programs, and environmental services at all of its customers` fabs.

The Singapore facility of photomask supplier Photronics Inc., Brookfield, CT, has achieved ISO 9002 certification. The site was Photronics` first greenfield facility outside North America.

Koch Microelectronic Service Co., Houston, TX, has received ISO 9002 certification for its electronic grade sulfuric acid plant in Riverton, WY.

Philips Semiconductors has received ISO 14001 environmental certification

for all 14 of its worldwide fabs. The

effort took less than two years, and ties in with internal goals of reducing plant waste by 35% and water and energy consumption by 25%.

Crysteco Epitaxial Corp., Allen, TX,

a supplier of epitaxial wafers to the discrete semiconductor market, has been registered to ISO 9002 and is QS 9000 compliant.

The Shipley Co., Marlborough, MA, a supplier of chemicals and photoresists for the electronics industry, has attained ISO 14001 environmental certification for its US facility.

Silicon Valley Group Inc., San Jose, CA, a supplier of wafer processing systems, has received ISO 14001 certification for environmental management for its Track Systems Division and Thermco Process Laboratory.

Tosoh SMD, a manufacturer of PVD materials that is based in Grove City, OH, has been awarded ISO 14001 registration by Bureau Veritas Quality International for its environmental management.

Tosoh Corp., Tokyo, Japan, a supplier of chemical and specialty materials, has been awarded ISO 14001 international certification for the environmental management system of its Nanyo Manufacturing Complex in Yamaguchi Prefecture.

Awards

The World Semiconductor Council, which represents the chip industries of the US, Europe, Korea, and Japan, has received the 1998 Climate Protection Award from the Environmental Protection Agency. The award recognizes the Council`s efforts to reduce use of global warming gases.

Toyoki Kitayama from Mitsubishi Electric Corp., Hyogo, Japan, has received the Robert W. Hill Award for outstanding contributors to the advancement of x-ray lithography. He began his pioneering work at NTT in the 1970s, and has led an attack on the technology and infrastructure impediments to the commercial implementation of x-ray lithography.

BOC Gases` air separation plant in Kittery, ME, has received the State of Maine Governor`s Award for Environmental Excellence. The award honors organizations whose efforts exemplify and promote Maine`s goal of preventing pollution at the source.

FAS Technologies Ltd., Dallas, TX, a developer of extrusion coating systems, was named one of the fastest-growing privately held companies in the Dallas/Fort Worth area in

the Dallas 100 Awards.

Tanner Research Inc., Pasadena, CA, a maker of micro-electronic design software, was selected as one of the

Los Angeles Technology Fast 50 fastest growing technology companies in Los Angeles.

August Technology Corp., Edina, MN, a supplier of automated inspection and metrology equipment to the semiconductor industry, has been ranked the sixth fastest growing technology company in Minnesota. The company

had a revenue increase of 1489% from 1993 to 1997.

SatCon Technology Corp., Cambridge, MA, has received an award from the National Science Foundation to develop a planar magnetic levitation stage for precision semiconductor manufacturing equipment applications. The initial award is for $100,000; follow-on development dollars from the foundation could exceed $900,000.

Achievements

Hewlett-Packard Co., Palo Alto, CA, has sold its 500th HP 83000 semiconductor test system, used to test VLSI components. The first system was shipped in 1991.

Applied Science and Technology Inc., Woburn, MA, has shipped its 100th AX8500 series ozone delivery system. Buyer Apex Co. Ltd. of Korea will use the system in tantalum-oxide deposition for memory chips.

ATMI Inc., Danbury, CT, a provider of thin film materials and delivery systems, has received its 100th US patent, for the Piezoelectric Environmental Fluid Monitoring System and Method. ATMI received its first patent in 1988.

Applied Materials Inc., Santa Clara, CA, has shipped its 100th SACVD system to Worldwide Semiconductor Manufacturing Corp., Hsinchu, Taiwan. Applied has also shipped its 100th Ultima HDP-CVD Centura system to Toshiba, Japan.

AutoSimulations, Bountiful, UT, a provider of simulation and scheduling software, announced that more than 50 semiconductor manufacturing facilities worldwide have implemented the real-time components of AutoSimulations Productivity Family.

KLA-Tencor Corp.`s Reticle and Photomask Inspection Division has reached Level 2 in the Software Engineering Institute`s five-level Capability Maturity Model for Software. By reaching Level 2, KLA-Tencor has shown that project management processes were established to track cost, scheduling, and functionality.

Anniversaries

Veeco Metrology Group, Santa Barbara, CA, is celebrating the 30th anniversary of the introduction of the DEKTAK surface profiler product line. More than 7500 profilers have been installed worldwide to measure step heights, surface texture, and planarity in a variety of applications.

Virginia Semiconductor Inc., Fredericksburg, VA, has celebrated its 20th year providing customized small diameter silicon substrates for niche markets.

Solectron Corp., Milpitas, CA, a provider of customized electronic solutions, has celebrated the fifth anniversary of its manufacturing plant in Scotland. The plant has seen growth in products and personnel.

Name changes

The Institute for Interconnecting and Packaging Electronic Circuits will now be known simply by its initials IPC and the positioning statement "Association Connecting Electronics Industries."

Olin Corp., Norwalk, CT, has named its new specialty chemicals company Arch Chemicals Inc. Arch will comprise the business segments of microelectronic chemicals, water chemicals, and performance chemicals.

DBI Inc., Portland, OR, a maker of flat panel displays, has changed its name to StarPanel Technologies Inc. to allow better identification with the company`s product offerings.

New Products

Integrated grind/etch system

The GNX200E grind/etch system incorporates the GNX200 wafer backgrinder with a wet chemical

etch station, allowing the damage-free thinning of wafers down to 50 ?m thick. The integrated process also increases die strength and improves surface roughness fourfold over conventional backgrinding. Okamoto Corp., Santa Clara, CA; ph 408/654-8400, fax 408/654-8405. For FREE info circle 101

Portable dry helium leak detector

The Heliot 103 portable dry helium leak detector uses a dry backing pump in place of the traditional oil sealed, rotary vacuum pump. It is equipped with touchscreen control for ease of operation and weighs only 32 lbs. It incorporates 10-8 atm cc/sec sensitivity, built-in calibrated leak and automatic calibration. Ulvac Technologies Inc., Methuen, MA; ph 978/686-7550 ext 229, e-mail [email protected].

DUV step-and-scan system

Micrascan 193 is a production-worthy 193-nm step-and-scan lithography system that is specified at 130 nm for grouped features, with a 0.6-?m depth of focus. It achieves system overlay performance of 45 nm and has a large field size. The 193 addresses the challenges of the 150-nm node and beyond with conventional binary reticles, allowing greater depth of focus and process latitude than current higher 248 NA systems. Silicon Valley Group Inc., San Jose, CA; ph 408/467-5949, fax 408/467-5867, e-mail [email protected], www.svg.com. For FREE info circle 103

Liquid chemical dispense systems

The primary function of each dispense system in the Trackmate family is safely to store, monitor and distribute

a continuous supply of chemicals, maximizing chemical usage while maintaining chemical purity through-out the transportand dispense process. The electronic control system interfaces with any track equipment to provide real-time information for the management and status of each chemical. Trackmate will interface with track systems and dispense photoresist and other lithography chemicals on demand. The resist is delivered through airtight fluid paths and 0.1-?m filtration, resulting in fewer defects and higher yields. Microbar Inc., Sunnyvale, CA; ph 408/541-1040, fax 408/541-1441, www.microbar.com.

Vacuum-compatible linear guides

Suitable for semiconductor processing, the ultracompact stainless steel AccuGlide R-Series linear guides are available in three sizes - 7, 9, and 12 mm - and are cleanroom and vacuum compatible. They provide good corrosion resistance, equal load-carrying capacity in all directions, and an industry-standard envelope and bolt-hole pattern for drop-in replacement of existing guides. Their low inertia allows instant acceleration with minimal force, ensuring smooth and quiet operation.Thomson Industries Inc., Port Washington, NY; ph 800/554-8466, e-mail [email protected].

CD-SEM for sub-0.15 ?m

VeraSEM is a system for the automated measurement and imaging of sub-0.15-?m device features. Its process variation monitoring (PVM) technology speeds users` process development time and ensures better process control. Based on a new, extendible platform, the system is designed to handle both 200- and 300-mm wafer sizes and can be upgraded to measure sub-0.13-?m geometries. Using a unique column design and new algorithms, PVM technology allows increasingly vital measurements to be made on the device, including line edge roughness, line edge width variation, and the distinguishing of open/closed contact holes. Applied Materials Inc., Santa Clara, CA; ph 408/727-5555, www.appliedmaterials.com.

Low-temperature alert monitor

The HPS low-temperature alert monitor, for the Series 45 heated pumping line jackets, indicates by LED if the temperature of the heaters in the line has fallen below a set point. The Series 45 jackets heat the process systems, such as LPCVD silicon nitride, preventing contaminant buildup and lowering system maintenance time. The heater jackets elevate system temperature to a level that keeps process by-products in gaseous form. Series 45 jackets` molded design ensures a proper fit on piping and components, as well as easy installation. The cleanroom-compatible jackets are CE marked to the EMC directive and to the low voltage directive. MKS Instruments Inc., Boulder, CO; ph 800/345-1967 or 303/449-9861.

Excimer laser

The 2000 Hz ELS-6000 is the highest-power (20 W) excimer laser designed for advanced 200- and 300-mm steppers and scanners addressing 0.18-?m devices. Incorporating advances in laser chamber, facilities, pulse power, and optics modules, the ELS-6000 gives very good throughput and CD control through its ?0.5% energy dose stability, =0.6 pm bandwidth FWHM, and =2.0 pm bandwidth at 95% integrated energy. Cymer Inc., San Diego, CA; ph 619/451-7149, fax 619/618-3035.

Vapor-delivery technology

The iCon self-metering reservoir (SMR) offers a novel solution for liquid and vapor delivery process requirements. It allows the generation of vapor mass flow in a controlled manner from a reservoir that replenishes itself continuously at precisely the rate of evaporation, eliminating longstanding control problems associated with earlier systems. The SMR houses an inner vessel that meters in fluid supply equal to the rate of vapor flow. Vapor is extracted from the inner vessel, which is then filled from the outer vessel. The liquid level in the inner vessel is calibrated by weight to determine optimum equilibration. The inner vessel maintains a fixed buoyant state as vapor is bubbled from it due to real-time fluid makeup, and maintains a constant liquid level during vapor delivery. Unit Instruments Inc., Yorba Linda, CA; ph 714/921-2640, fax 714/921-0804.

High-output arsine generator

This 1.5 liter/min (90 liters/hour) arsine gas generator, for MOCVD applications, produces very high-purity gas (99.9999%). On-site gas generation eliminates the hazards of transporting, handling, and storing compressed gas cylinders. The fully automated generator can deliver up to 25 lbs. of arsine before changing its solid source canisters, which can be shipped anywhere in the world. Also available is a phosphine gas generator for MOCVD and doping applications. Electron Transfer Technologies, Edison, NJ; ph 732/225-3995, fax 732/225-3580, www.pingsite.com/ett.

Laser drilling systems

Designed for advanced IC packaging and printed wiring board production, Models 5150 and 5200 laser drilling systems drill blind and through micro-vias in all materials used in electronics production. An ultrafast beam positioner substantially decreases the time taken to move between drilling locations. Model 5200 features solid-state, diode-pumped laser drilling technology, which offers very good reliability and uptime as a result of reduced maintenance requirements (diodes need replacement only once a year). The diode-pumped laser also provides greater power at the work surface. Throughputs of the 5150 and 5200 are increased by 50% and >100%, respectively, over that of the production-proven Model 5100, which can be field-upgraded to a 5150. Electro Scientific Industries Inc., Portland, OR; ph 503/671-5500, fax 503/643-4873, www.esio.com.

Dual-lane encapsulation

ENCore Dual Lane Encapsulation systems offer the continuous benefits of dual-lane processing for advanced packaging and assembly encapsulation applications, including die coat, dam and fill, glob top, chip underfill, and cavity fill. Because they are modular, they can be expanded into multi-unit systems. Ergonomic design, coupled with sophisticated control and process monitoring, offer features that include on- or off-line programming, self-diagnostic software, and a powerful Windows NT environment. MRSI, Chelmsford, MA; ph 978/256-4950, fax 978/256-5120, e-mail [email protected].

Dynamic burn-in system

The MAX3 dynamic burn-in system features burn-in voltages as low as 0.7 V DC, providing a single burn-in solution for ICs with linewidths =0.18 ?m. The system also features 96 I/O channels, allowing a more complete exercise of higher pin-count devices and production of more reliable ICs. Other features include: memory patterns for both standard and embedded memory; vector patterns for logic; reconfigurable vector memory to 24 Mb deep for boundary scan applications; high-current capabilities for highly integrated devices; analog and digital signal generation; three separate power supplies; and backward compatibility with MAX2, MAX-64000, and ATS-12000 burn-in boards. Aehr Test Systems, Mountain View, CA; ph 650/691-9400, fax 650/691-9300.For FREE info circle 113

Flip chip encapsulant

This "no flow-flux" underfill encapsulant reduces cycle time in flip chip assembly. The underfill eliminates the separate fluxing, cleaning, and underfilling steps because the adhesive itself performs the dual role of fluxing the interconnects, then curing to become the underfill layer. Also, the underfill can be cured during the solder reflow process; there is no need for a separate curing cycle. Commercial flip chip tests have shown the underfill`s durability: following

three reflow cycles it has passed over 1200 temperature cycles from -65 to 150?C, and counting. Emerson & Cuming Specialty Polymers, Billerica, MA; ph 800/832-4929, e-mail [email protected].

WN film for DRAM capacitors

Tungsten nitride (WN), when used as an electrode material, results in 10 times lower leakage for Ta2O5 capacitors than other electrode materials such as TiN. This new CVD technique deposits WN that uniformly coats the intricate structures which are present in modern DRAM capacitors, controlling particles and repeatability to the current tight production requirements. The film is available on the production-proven LYNX2 single-wafer cluster tool, a multiple-film system with up to four-module capability. Genus Inc., Sunnyvale, CA; ph 408/747-7120, fax 408/747-7199, www.genus.com.

For FREE info circle 115

Photodefinable polyimide

HD-4000, a new i-line photodefinable polyimide for stress buffer coating and flip chip bonding, has a glass transition temperature (Tg) of 350?C (DMA) and a coefficient of thermal expansion of 35 ppm. Cured HD-4000 films are both highly ductile and resilient; measured values for elongation and modulus are 50% and 3.4 G.Pa., respectively. This combination makes HD-4000 highly compatible with most semiconductor/thin film processes and material stacks. It is applied and patterned in a fashion very similar to standard negative-tone photoresists. Micron-scale features can be resolved on standard production tools with controlled sidewall slopes; 4-?m features can be readily imaged in 5-?m cured HD-4000 polyimide films, using i-line steppers and conventional developer tracks. Cured film thicknesses can range from 3 to 10 ?m. HD MicroSystems, Wilmington, DE; ph 800/346-5656, fax 302/892-0597.

In situ gas analyzer

Process Sense is a compact, low-cost, quantitative in situ sensor for determining gas composition within a production feed, process tool, or exhaust gas line. The sensor features a large dynamic range, fast response, low detection limits, large wavelength range, and lifetime calibration. It uses infrared absorption - changing filters is all that is necessary to measure different gases - and increases throughput and reduces consumables by optimizing chamber clean and process etch endpoint, even in remote plasma applications. Exhaust gas monitoring for fault detection reduces scrap and downtime; feed gas monitors ensure proper composition while detecting contamination. On-Line Technologies Inc., E. Hartford, CT; ph 888/384-7888 ext 110 or 650/424-8559, www.online-FTIR.com.

10,000-? spin-on glass

1000FX series spin-on glass has a dielectric constant of ~2.8, and lower with different formulations, and yields films with very good local and regional planarization. It forms 10,000-?-thick crack-free films and easily fills gaps and voids in the submicron range. It forms low-stress films after cure and possesses very good thermal stability. Filmtronics Inc., Butler, PA; ph 724/352-3790, fax 724/352-1772, e-mail support@filmtronics. com.

Plasma-enhanced CVD

9-5170 Trimethylsilane (3MS) Semi-conductor Grade is a silicon-source gas engineered for PECVD processes that deposit silicon carbide, silicon oxide, or silicon nitride thin-film dielectrics. It allows OEMs to grow dielectric films that achieve performance benefits over SiH4-based nitride and oxide films used for metal isolation, circuit passivation, and low-k oxides. Dow Corning Corp., Midland, MI; ph 800/346-9883 or 517/496-6000, fax 517/496-4586, [email protected].

Spin-on polymer

PureSpin advanced spin-on polymer, for use in intermetal dielectric applications, will help to make non-etchback processes the standard in DRAM manufacturing, eliminating several steps currently used with the partial-etchback methodology. PureSpin is compatible with isopropyl alcohol, allowing easy integration into current equipment configurations. It is formulated to form a crack-free, low-k (3.1) interlayer dielectric to fill gaps as small as 0.1 ?m without voids. The dense nature of the dielectric film formed provides good oxygen plasma resistance to alternative spin-on materials during photoresist ashing, resulting in a relatively trouble-free via-fill process. AlliedSignal Advanced Microelectronic Materials, Sunnyvale, CA; ph 408/962-2000, fax 408/980-1430, e-mail [email protected], www.alliedsignal.com.

Thermal deposition power supplies

RPS Series resistance power supplies are designed for use with thermal sources in high-vacuum deposition systems. They are available with 5000- and 2000-W outputs to supply single or dual thermal deposition systems, and in rack-mounted and console configurations, and they are compatible with most thermal sources and high-vacuum deposition systems. RPS supplies are inherently able to interface with popular models of deposition controllers, and they can be fully interlocked to protect operators and equipment. CHA Industries, Fremont CA; ph 510/683-8554, fax 510/683-3848, www.chaindustries.com.