Issue



USA


11/01/1997







USA

Intel Corp. has signed up Motorola and Advanced Micro Devices to take part in the private EUV Limited Liability Corp., which will spend about $250 million over three years to fund development work at Department of Energy (DOE) laboratories. The EUV-LLC is a private IC industry consortium, presently composed of Intel, AMD, and Motorola, but open to new US members willing to pay the minimum of $5 million. EUV-LLC`s payments to the DOE will support 100% of the costs of developing EUV lithography at the Virtual National Laboratory, a superposition of groups at Lawrence Berkeley, Livermore, and Sandia National Laboratories. EUV lithography is an experimental method of projecting images with resolution below 130 nm using 13-nm wavelength radiation from a laser-produced plasma.

Intel Corp. has begun site preparation for a facility near Hillsboro, OR, that will become the company`s first 300-mm volume production wafer fab. Sources said the fab, codenamed D-1C, is on an aggressive construction track, and that installation of tooling could start in early 1999. D-1C will be built in an area called Ronler Acres, where the 200-mm D-1B fab is already in operation. The facility will run a 0.18-?m process, which is reportedly being done on 200-mm wafers, primarily at the firm`s R&D facility in Hillsboro. Some 300-mm pilot line work is reportedly set to take place at the Santa Clara, CA, D-2 fab. This is expected to allow the 300-mm fab in Oregon to start operations with an established process, and make possible the parallel building of pilot and production lines.

Three months after Applied Materials named it in a patent lawsuit, AG Associates answered the suit and counterclaimed, seeking declaratory relief that the company is not infringing on

Applied`s patents. Applied contends that AG, AST electronik GmbH, and AST Electronik USA all infringe on Applied patents covering RTP processes and heater head design. In its counterclaim, filed July 23, AG contends that Applied`s patents are invalid, and "intends to defend vigorously."

Applied Materials plans to launch an equipment pilot line at its Santa Clara, CA, headquarters, and has already selected an ASM Lithography (ASML) deep UV stepper for the development program. The project is part of a $430 million investment in Santa Clara to support 200- and 300-mm system and process development. Earlier this year, Applied increased its FY97 capital expenditures budget by $100 million to fund its development work, specifically 300-mm process development. Equipped with a full range of process technologies, including lithography and wet processing, the pilot line will enable Applied to perform complete wafer processing cycles similar to those used in fabs. The ASML stepper will be delivered by the end of the year. It will be initially configured for 200-mm wafers, and later upgraded for 300-mm process development.

AG Associates, San Jose, CA, has received its first order for its 300-mm RTP system from Selete (Semiconductor Leading Edge Technologies Inc.) in 1Q98. The system will be shipped to Selete`s R & D facility in Yokohama, Japan, where it will be used for the firm`s 300-mm evaluation program. The 300-mm RTP unit is based on the company`s recently introduced Starfire 200-mm RTP system for 0.18-?m applications.

The DuPont Co. and Hitachi Chemical Co. Ltd., two of the world`s leading producers of liquid polyimides for microelectronic applications, will join their polyimide coating business into a new joint venture know as HD MicroSystems. The companies hope to tap into what could become a fast-growing market for polyimide interlayer dielectric materials, which are a contender to replace silicon dioxide as device makers seek insulators with a lower k factor. Ownership will be shared equally between the firms, each of which is providing an initial $7 million in financing. About $10-$11 million of that will go to finance a new manufacturing facility at DuPont`s Parlin, NJ, plant, with the rest funding equipment purchases and other additions, said William Speri, global business director for DuPont`s semiconductor materials operation, who will serve as CEO of HD.

Mattson Technology, Fremont, CA, hopes to bolster its position in the rapid thermal processing (RTP) market through a worldwide joint development program with a major Japanese chipmaker, and through the introduction early next year of a system capable of processing at higher temperatures than current units. Work under the development program will focus on extending Mattson`s nonlamp-based RTP technology for both 200- and 300-mm applications, including barrier layer formation and annealing.

ADE Corp., Westwood, MA, has announced a $10 million deal to acquire LPA Software`s Semiconductor Solutions Division (SSD), a Burlington, VT, yield management software supplier. Under the acquisition proposal, the SSD unit will become a unit of ADE`s newly formed subsidiary, ADE Software Corp. SSD`s 25 employees are expected to join ADE. SSD currently provides in-line single and multiple wafer defect analysis software to device makers.

As part of an effort to expand its flat-panel display business, Planar Systems, Beaverton, OR, has agreed to acquire Standish Industries, a Lake Mills, WI, producer of liquid crystal displays. Planar said it is considering a one-time, noncash charge related to the buy, to write off in-process R&D, in the 4Q. Planar stated the transaction would make it the largest independent merchant supplier of FPDs in the US. Standish is one of several strategic investment actions Planar has taken to expand the scope of its display business and capture a larger share of the market for FPDs, which is estimated to reach $5 billion by 2002. Planar president and CEO Jim Hurd said that the buy will complement existing electroluminescent and cathode ray tube product lines.

Technology Modeling Associates (TMA), Sunnyvale, CA, has completed its acquisition of Precim, a Portland, OR, developer of software for full-ship optical proximity correction (OPC). The deal combines OPC software with photolithography simulation tools. The deal was for 387,000 shares of TMA common stock, valued at about $4.9 million. The combining of Precim`s OPC software technology with Depict, TMA`s photolithography simulation and OPC software tool, is expected to allow TMA to offer a software product that performs accurate OPC at both the device and full-chip levels. For Precim, the merger will expose the Precim OPC product to TMA`s sales and support infrastructure.

In addition, TMA is itself being acquired by Avant!. Expected to close in 4Q97, the stock swap agreement is valued at $150 million. TMA CEO and president Roy Jewell will become VP of Avant!`s TCAD business unit. Gerald Hsu will remain Avant! president, chairman, and CEO.

Under an update to its two year-old Cirent Semiconductor joint venture agreement with Lucent Technologies, Cirrus Logic, Fremont, CA, will shift 25% of its wafer output purchase obligation to Lucent. The change leaves Cirrus with a 25% stake in the Orlando, FL, facility, and gives Lucent the majority 75%. In addition, Cirrus, at its option, may reacquire up to an additional 10% of the total Cirent wafer output. The agreement extends Cirrus` right to sell any portion of its wafer capacity obligation to third parties on a foundry basis.

Epitaxial Technologies LLC, Baltimore, MD, has begun operation as a manufacturer of epitaxial wafer products for the wireless and optoelectronic telecommunications market. The company will produce epitaxial wafers of gallium arsenide, indium phosphide, indium antimonide, and related alloys to customer specifications, offer optimized standard products, and provide consulting services in the application of these products. Epitaxial Technologies operates out of the University of Maryland Baltimore County Technology Enterprise Center, from which it licenses its facilities as an incubator company.

Cree Research, Durham, NC, has begun offering silicon carbide wafers in 2-in. diameters, and plans to migrate all sales to the new diameter over time. Quantities are still limited and Cree expects its 1.375-in. substrates will continue accounting for the bulk of its wafer sales for the immediate future. The 2-in. wafers are more easily handled by processing tools, and are expected to help bolster the market for SiC, which is useful in high-power and high-temperature semiconductor applications. Cree also showed a 3-in. SiC wafer at a conference in Sweden.

Air Liquide America has formed a new chemical and gas management subsidiary, Air Liquide Electronics Chemicals and Services (ALCHEM). The new unit will provide on-site chemical and gas management services to Dallas-based Texas Instruments, pursuant to a long-term agreement the two firms set earlier this year when TI announced it was selling its chemical operations department to Air Liquide. ALCHEM will also provide similar services to MEMC-Southwest, Sherman, TX, and CSI/Startec operations, Fallbrook, CA.

IC Works, San Jose, CA, is seeking a partner to buy some of its fab capacity, and will consider selling off its entire fab. At the same time, some equipment currently located in the IC Works fab has been put up for sale. Bill Burger, VP of business development for IC Works, said the situation arose as the company upgraded its facility from 125- to 150-mm wafers as part of a deal with several foundry partners. One option would be to find a new partner who could contract for some portion of the fab`s capacity, which would be about 10,000, 150-mm wafers/month if fully outfitted. IC Works might decide to sell the entire fab while maintaining an access interest, inverting the firm`s traditional foundry partner relationship.

With orders in July and August already hitting the $28 million mark, Robotic Vision Systems Inc. (RVSI), Hauppauge, NY, said its Electronics Division is on track to break last quarter`s record of $31 million. Thus far, the company has seen total lead scanning orders for the two months reach $20 million, and orders for the Systemation unit reach $8 million. "These orders cover the full spectrum of the Electronic Division`s product lines," said president Steve Bilodeau. "We are seeing strong worldwide demand for products that inspect both leaded packages and ball grid arrays."

CVD Equipment Corp., Ronkonkoma, NY, has signed a license agreement with IBM Corp. giving CVD Equipment the right to sell equipment using IBM`s patented method and apparatus for low temperature, low pressure, chemical vapor deposition of epitaxial layers. CVD Equipment designs and manufactures standard and custom CVD systems for the semiconductor industry and research labs.

As part of a refocusing on core wet bench technology, SubMicron Systems, Allentown, PA, is planning to license a portion of its PRIMAXX dry cleaning technology to AG Associates (Israel) Ltd. Under the licensing agreement, SubMicron will receive an initial payment of $1.25 million, with additional royalty payments of up to $2.5 million to follow based on the number of units sold over a multiyear period. The agreement covers the PRIMAXX technology "concerning a specific field of use." Further details about the agreement were not released. David Ferran, SubMicron president and CEO, said the agreement with AG Associates "fits nicely with our strategy of refocusing our attention on wet surface preparation technology." In addition to the PRIMAXX licensing agreement, SubMicron has sold off its Systems Chemistry unit and has been looking to divest its Imtec Acculine subsidiary, in an effort to refocus the company on its core competency.

Implant Center Inc., San Jose, CA, a provider of ion implantation processing, has completed a second round of private placement financing that raised $5.5 million from new and existing investors. The company is using about half of the funds to purchase two of Eaton`s latest GSD implanters. A 150-mm implanter is slated for installation in 4Q, and a 200-mm tool will be installed in 1Q, according to a spokesman.

Silicon Valley Group (SVG), San Jose, CA, a supplier of automatic wafer processing equipment, has agreed to acquire Tinsley Laboratories Inc., Richmond, CA, a supplier of precision optics and precision lens systems. SVG will acquire Tinsley through a stock pooling transaction, whereby each outstanding share of Tinsley common stock will be exchanged for 0.6594 shares of SVG common stock.

EMCORE Corp., Somerset, NJ, has rescheduled shipment of four orders worth $4.7 million. The orders, for MOCVD systems from Japan-based customers, were originally expected to be received in the March-April timeframe and shipped by the end of this month, but were not actually received until the May-June timeframe. EMCORE now expects to recognize the revenues from the orders over the next two quarters (the first and second of FY98).

Dominion Semiconductor, Boston, MA, the joint venture formed by IBM and Toshiba to produce advanced memories, has selected Teradyne`s J990 series memory test systems for in-line and production wafer test. The order is for 17 J995 and J996FA systems. Shipments began this year and will continue into 1998 to the new Dominion wafer fabrication plant in Manassas, VA. The first devices being tested are 64-Mbit DRAMs.

Plasma-Therm Inc., St. Petersburg, FL, has sold a large number of Versalock Mask Etchers systems in Asia and the Far East. The sales total more than $7 million. Systems will be shipped during the end of 1997 and early 1998, and will utilize Plasma-Therm`s inductively coupled plasma technology for the etching of masks and reticles. Both captive and merchant maskmakers are represented by the orders.

Kulicke & Soffa Industries Inc. (K&S), Willow Grove, PA, has received an order for model 1488 plus gold ball bonders from Advanced Semiconductor Engineering (ASE). ASE will use the bonders in the manufacture of fine pitch ball grid array and high-pin-count quad flat pack devices at its Kaohsiung, Taiwan, production facility. Valued at nearly $35 million, it is the largest order received by K&S. Delivery of the machines has begun.

Electroglas Inc., Santa Clara, CA, has received a multimillion-dollar order from Motorola Inc. for multiple Horizon 4090 wafer probing systems, which will be used in three of Motorola`s Arizona-based facilities - MOS 21, and Final Die Manufacturing Operations in Mesa; and the Advanced Digital Consumer Division in Chandler. Installation of the 4090 systems is scheduled through the end of 1Q98. Electroglas has also sold a Horizon 4090 system to Lattice Semiconductor Corp., Hillsboro, OR, to be used in high-volume production of advanced programmable logic devices.

MVSystems Inc., Golden, CO, has received an order for a plasma enhanced chemical vapor deposition (PECVD) cluster tool system from the University of Utah. The system will be used for advanced development of amorphous silicon solar cells and thin-film transistor technology. The tool`s design allows for retrofits of up to seven PECVD/sputtering/hot wire CVD process chambers stationed around a central circular evacuated isolation and transfer zone.

LTX Corp., Westwood, MA, a supplier of semiconductor test equipment, has received a multimillion dollar order from Philips Semiconductors for multiple Delta/STE test systems. Philips` product division will use the systems for its latest generation of microcontrollers and digital signal processing chips.

Advanced Technology Materials Inc., Danbury, CT, has received a $1.4 million order from Taiwan Semiconductor Manufacturing Co. (TSMC) for complete turnkey semiconductor environmental equipment and extensive monitoring services at one of TSMC`s Taiwan fabrication plants. Initial product shipments and installation were scheduled to begin during 3Q.

Etec Systems Inc., Hayward, CA, is moving ahead with work on a multimillion dollar SEMATECH contract, under which Etec is developing a raster-scan e-beam maskmaking system for 0.15- and 0.13-?m generation device development. According to a company spokesman, the program will be rolled out between now and 2000, when the firm is expected to show an early production 0.15-?m generation system and a pilot tool for the 0.13-?m generation.

Eaton Corp. hopes to build its Semiconductor Equipment Operation (SEO) into a $1.5 billion business by the turn of the century, and to $2 billion or $3 billion shortly thereafter, through growth of existing products, acquisitions, and possible venture funding of startups. Over the past year, Eaton SEO, based in Beverly, MA, has purchased thermal processing developer High Temperature Engineering Corp. and Fusion Systems, a producer of ashing and stripping tools. The SEO has also introduced two new high-current implanters in recent months, has established a strong presence in the high-energy implant sector, and hopes to improve its position in the medium-current market.

Comdisco Electronics Group, San Jose, CA, a provider of equipment management services for semiconductor assembly equipment and automated test equipment, will expand its San Jose facility. The enlarged 70,000-ft2 facility includes a dedicated area for printed circuit board (PCB) assembly and electronics equipment reconditioning and demonstration. Comdisco Electronics Assembly Group is a new division formed for users of PCB assembly equipment. The division will provide manufacturers with practical equipment acquisition options through the use of lease financing and surplus equipment remarketing.

The Electric Power Research Institute (EPRI), Austin, TX, and SEMATECH have formed the EPRI Center for Electronics Manufacturing (CEM). The CEM will address productivity, environmental, and energy issues in the electronics industry, particularly semiconductor manufacturing. Based at SEMATECH, the CEM will work on behalf of EPRI`s electronics industry program to coordinate the involvement of EPRI members and semiconductor firms in cooperative efforts to improve plant operations, reduce cost-of-ownership, and promote environmental stewardship through technology-based solutions.

PRI Automation Inc., Billerica, MA, a maker of factory automation systems, has created the Office of Technology, which will spearhead PRI`s long-term R&D efforts. The new unit will provide a consistent vision across PRI`s engineering and product development organizations, identify and develop new technologies, contribute to strategic business planning, and assist, review, and contribute to ongoing product and technology developments.

Praxair Surface Technologies, Indianapolis, IN, has completed construction of a Class 10 cleanroom polishing lab for the development of new CMP slurries and slurry chemistries in manufacturing sub-0.5-?m devices. The lab will be equipped with polishing and metrology equipment, and used as a demonstration center for slurry performance. Praxair is completing a major CMP slurry manufacturing expansion that will more than triple its CMP capabilities. The Class 10,000 manufacturing area is expected to be completed by year`s end.

NEC Electronics, Santa Clara, CA, will spend about $120 million to add 0.25-?m and five-layer metal capabilities to its Roseville, CA, fab, in support of the company`s move to a more aggressive ASIC technology. The upgrade will require new deep UV steppers, additional metallization tooling, and new etchers; no facility expansions or additional employees will be needed. Installation is planned for the 4Q of this year. NEC has stated that its next new fab will be built in the US, and the company is reportedly eyeing an $850 million addition that would provide sub-quarter-micron capabilities for both logic and 256-Mbit DRAM production.