Issue



Table of Contents

Solid State Technology

Year 1998
Issue 12

FEATURES

Industry Insights


FIB technology keeps pace with process and packaging developments

Engineers depend on sophisticated equipment, such as focused ion beam systems, to help them analyze circuit performance. A growing number of chips are now assembled using flip chip. Flip-chip packaging is, however, a serious roadblock to the established debug effort. The solution described here combines high-resolution optics, special chemistry, and hardware all integrated into one focused ion beam system.


Industry Insights


Strategic partnering principles

In today`s world of high technology, many links must be created between manufacturers to deliver leading-edge solutions to customers. In the semiconductor industry, with its complex manufacturing and interrelated processes, the needed solution often requires expertise from multiple suppliers.


Implantation


Formation of ultra-shallow junctions by ion implantation and RTA

Forming sub-100-nm junctions for source/drain designs in <180-nm devices requires optimized low-energy ion implantation and rapid thermal annealing to place dopants accurately and activate them without excessive diffusion. Boron ions were implanted at a range of low energies and implanted wafers were then annealed using various soak times, temperatures, and ramp rates. Junctions with depths of 30-70 nm (at 1 ? 1018 cm-3) can be formed with sheet resistance tunable between 200 and 900 W/n, using


Implantation


SECS-GEM for Y2K testing in fabs

Potential "year 2000" problems have reached almost mythical proportions. Unfortunately, they have generated excessive studies and pontification. The solution, particularly for semiconductor wafer fabs, involves rolling up our sleeves and fixing the problem.


Feature Products


Deep-UV step-and-scan system

The PAS 5500/700B high-NA, DUV step-and-scan system, for 0.15-?m design rule applications, has stepping speed of 500 mm/sec and scanning speed of 250 mm/sec. It is equipped with Carl Zeiss` Starlith 700 lens, which has a NA of 0.7, giving the system its high resolution. The Aerial II high-transmission illuminator provides very high transmission of 248-nm wavelength light; this plays an essential role in achieving the system`s high throughput of 104 wafers/hr (200 mm). Overlay accuracy using the


Feature Products


Semiautomatic dicing systems

Two additions to the 980plus precision dicing line improve productivity by enhancing yield and process capability. The 984plus is designed for hard materials such as TiC, ceramics, glass, and sapphire up to 16-mm thick; and the 982plus is designed for thin semiconductors, sensors, and plastic CSPs. A robust structure and closed-loop positioning axes deliver very good cut placement accuracy, while advanced software and pattern recognition capabilities can be added for the most intricate dicing pr


Feature Products


Wafer substrate flatness inspection

The WYKO SFT4500 wafer substrate flatness inspection system is a noncontact instrument that improves process characterization of thin-film head wafer substrates by providing single- or double-sided measurement of global and site flatness across 4.5-in. square wafer substrates. Designed specifically for thin-film head manufacturers, the system determines global as well as user-definable local site flatness, such as Rz, Rt, slope, and radius of curvature, in a single measurement. Based on Fizeau p


Feature Products


3-D defect analysis and CD measurement

Designed and configured to meet the requirements of both the semiconductor and data storage industries, the Micrion C3D system is used for applications including process control (both production and R&D), yield management, metrology, inspection, and quality control. It provides three-dimensional CD data, both top down and cross-sectional, very short in-fab analysis loops, and highly repeatable, accurate data collection using pattern recognition techniques. The tool features three imaging systems


Feature Products


Lithography data analysis

ProDATA, a software tool for analyzing experimental lithography data, imports, analyzes, and visualizes experimental data and provides optimum lithographic process information in a matter of seconds. It analyzes swing curves, contrast curves, and focus-exposure data, and uses advanced lithographic analysis algorithms to provide results. Information can be imported in multiple formats and analyzed using a two-step curve fitting process, the entire process can be recorded for later review, and res


Feature Products


Multilayer sputter deposition

The Nimbus 300 multilayer sputter deposition system is designed for high-volume/low-cost production applications. The 1.3 ? 2.5-m cassette-to-cassette system features three progressive levels of vacuum and an independent sputter etch chamber to enhance film adhesion and quality. Throughput is >60 wafers/hr (200 mm), and the system runs 100- to 300-mm wafers without hardware changes. The Nimbus 300 is well suited to applications that require sequential or simultaneous deposition of multiple metal


Feature Products


On-wafe RFIC text capability

With RFIC on-wafer test functionality, the HP 84000 RFIC test solution now features software that adds to the system: real-time wafer mapping, a binning summary, automated probe system control, and fixture calibration. The system also includes all necessary hardware for docking onto wafer-probe systems. The on-wafer solution highlights capabilities added as part of software revision A.02.00, including: error vector measurements within the digital modulation analysis application module; adjacent


Feature Products


All-optical wafer measurement system

Impulse 300 performs nondestructive multilayer film thickness and uniformity measurements on semiconductor wafers. The system uses lasers to provide noncontact ?-level repeatability on all metal films, including copper, tungsten, and tantalum. Rapid measurements (<2 sec/spot) allow high-resolution, full-wafer mapping in <2 min. The tool is robust, with a compact, maintenance-free, solid-state excitation laser. The system uses impulsive stimulated thermal scattering technology. Picosecond laser p


DEPARTMENTS

Asiafocus


Big surge coming forecasts TSMCs Morris Chang

As semiconductor slumps go, this one is relatively mild, and it will be followed by a big surge in business, predicts Morris Chang, chairman of foundry-leader Taiwan Semiconductor Manufacturing Co. (TSMC), and a veteran of several industry downturns.


Wafer Cleaning


A novel resist and post-etch residue removal process using ozonated chemistry

A novel, environmentally friendly process has successfully removed photoresist and organic post-etch residues from silicon surfaces. The moist ozone gas phase process described here greatly increases organic removal efficiency and is expected to replace most sulfuric-based process steps in IC production.


Editorial


Can SEMI find some

There was a poignant pair of sentences in the statement issued by SEMI`s All Industry Forum, held on the eve of SEMICON Southwest to discuss topics for industry cooperation. The second paragraph in the "Capacity Forecasting" section states, "It is agreed that the SEMI staff will undertake a review of available industry data that might provide some `leading indicators` of what is to come and then to hold quarterly meetings of industry executives to review these indicators and agree on how to inte


Eurofocus


Upbeat Europe aims to upgrade its chip technology

Recent visits to a wide range of semiconductor fabs, research facilities, and process tool companies across Western Europe revealed an optimistic, forward-looking mood at most facilities, quite unlike the gloom and doom found in recent times at similar sites across the US and much of Asia. With a new Euraccess initiative, and a push toward sub-0.1-?m process development under a new program called Plato (sounds like "plateau"), major players in Europe hope to catch up with the leading edge of


Market Watch


Indicators hint at an upturn in the equipment industry

Semiconductor equipment companies are in the grip of an industry downturn the likes of which have not been experienced in over a decade. Many companies are reporting quarterly losses and have announced restructuring or downsizing. Stock prices have been under extreme pressure. While rallying in the earlier part of 1998 on the expectations of a second half recovery, these hopes have now been dashed. Virtually every company in the industry sees no upturn in sight and indeed, some companies say tha


Market Watch


Global yield engineering for IC production

A comprehensive approach to yield engineering can identify hidden variables that have the greatest influence on global fab yield. Software macros were written to extract yield sensitivities more quickly from a common database. Rapid determination of sensitivities allows for more efficient application of engineering resources and improved global yield.


Services


Integrated IT solutions group

A new Semiconductor and Electronics Group has been formed to provide information technology (IT) solutions for the semiconductor industry. The group`s focus is on manufacturing execution system (MES) implementations, equipment automation of critical man-machine functions, integration of MES and enterprise resource-planning systems into the supply chain, and management of computer-integrated manufacturing functions. Using a variety of tools and experience, the group identifies root causes of prob


Services


Wafer chuck reconditioning

Wafer chuck reconditioning services are now being offered by a manufacturer whose fixtures accommodate round or square wafer/vacuum chucks up to 48 in. Precision vacuum chucks are manufactured to extreme flatness and parallelism, often with mirror-like finishes; porous chucks have ceramic, quartz, or metal inserts that can loosen; and dicing chucks are often damaged during blade setup procedures or by blade or flange height/depth miscalculations. Continuing to use a damaged chuck limits capabili


Services


CD-ROM training programs

Texas Engineering Extension Service (TEEX) and SEMI have formed a partnership to market and distribute self-paced, multimedia courses on CD ROM for the semiconductor professional. Two, Semiconductor Processing Overview and Microcontamination and Particle Control are available from SEMI. Chemistry, Mathematics, and Materials Technology are available from TEEX. Computer-based programs provide a way for employees to continue their education during tough economic times, when some companies reduce wo


Services


Thin film supplement: Reactive sputtering

This 88-page supplement to the Handbook of Thin Film Process Metrology covers reactive sputtering, and will be of interest to industrial and academic researchers, as well as owners of the main volume. Recent developments in the field, including unbalanced magnetron sputtering and pulsed reactive sputtering, are described. The book also covers applications, practice, and manufacturing techniques. Edited by D. Glocker, I. Shah, and W. Westwood. ISBN 0 7503 0528 2. Price: $70. Institute of Physics


Services


Submicron mircolithography

This 800-page text details both elementary and advanced aspects of submicron microlithography, providing a treatment of theoretical and operating practices, as well as current research in the field. Topics covered include mechanical systems, optics, excimer laser light sources, alignment techniques and analysis, resist chemistry, processing, multilayer lithography, plasma and reactive ion etching, and metrology. Citations to key sources in the literature and more than 600 tables, equations, draw


Services


Cleanroom manual

The 56-page How to Save Thousands of Dollars on Your New Cleanroom is the latest in a line of cleanroom-related books. Written in language that makes cleanroom design clear and simple, the manual targets anyone considering the purchase or upgrade of a cleanroom. It is set up in a question-and-answer format as are the previously published books The Cleanroom Primer and The Air Shower Primer from the same company. Liberty Industries Inc., East Berlin, CT; ph 800/828-5656 or 860/828-6361, fax 860/8


Services


Microprocessor reports

Two reports from a semiconductor research firm provide details on new microprocessors. The first (Report No. # SCA 9808-587) describes IBM`s Power PC 750 microprocessor, which is constructed with six levels of copper interconnect and 0.12-?m gates. More than 100 photographs on all process features and charts detailing design


People


People Updates

Sputtered Films Inc., Santa Barbara, CA, has promoted Andrew Clarke to CEO. He joined the company in 1989 as a physicist, was appointed director of product development in 1994, and most recently held the position of senior VP and member of the board of directors.


Literature


Literature Update

This six-page brochure describes improvements in the Transpector 2 gas analysis system, a quadrupole-based smart sensor that contains sensor drive electronics and a microprocessor in a compact enclosure attached to the sensor. New RF and preamplifier electronics provide accurate data for vacuum process monitoring, process diagnostics, and leak detection in semiconductor manufacturing. Increased hydrogen sensitivity makes contaminants visible at sub-ppm levels, and faster scanning over low-level


News


Worldwide highlights

September SEMI book-to-bill down again, to 0.57. Orders for semiconductor equipment from North American producers took another sharp downturn in September, dropping 16% from August levels to $476.4 million, according to SEMI. The equipment book-to-bill came in at 0.57, another new record low. Revised August figures showed orders down to $568 million from the preliminary $631.5 million reported last month, and showed a book-to-bill ratio of 0.57 instead of 0.60. Thus, the July-August order drop w


News


USA

Under a new agreement, Unitive Electronics will get some help from Karl SUSS in commercializing its wafer bumping and redistribution process developed at the Microelectronics Center of North Carolina. Karl SUSS will supply Unitive with photolithography equipment and engineering resources as Unitive opens production facilities in several key markets. In return, Unitive will serve as an end user beta test site for Karl Suss`s production mask aligners.


News


Japan

Matsushita Electronics Corp. is postponing production at its Toyama Prefecture DRAM fab by a year and will delay other plans to build a fab dedicated to 0.18-?m processes in Japan, according to the Nihon Keizai Shimbun. The company is also planning to hold annual capital spending over the next four years at 60 billion yen, down from 100 billion yen.


News


Asia / Pacific

Taiwan Semiconductor Manufacturing Co. (TSMC), Hsinchu; Royal Philips Electronics, the Netherlands; and EDB Investments, Singapore, have formed a joint venture to build a wafer fabrication facility in Singapore`s Pasir Ris Wafer Fab Park. Valued at $1.2 billion, the facility is expected to begin production in late 2000, reaching full production capacity in 2003. Philips will be the largest shareholder with 48%; TSMC will hold 32%; EDB will hold 20%.


News


Europe

Steag Micro Tech GmbH, Pliez-hausen, Germany, and IMEC, Belgium, have extended their cooperation on cleaning technology including the installation of a Poseidon Single Tank Tool at IMEC. The tool will be used in 0.25-?m prototyping and in advanced 0.18-?m, and even 0.13-?m, process developments.


Tech News


BACUS attendees focus on mask costs

While the unknowns associated with future mask technology and costs linger, 248-nm DUV lithography strength was evident at the 1998 BACUS Symposium on Photomasks.


Tech News


193-nm litho conference challenged by insertion

With likely insertion at the 130-nm technology node in 2003, details at the International Symposium on 193-nm Lithography showed that lithographers still face the challenges of simultaneously inserting resolution enhancement technologies, new resists, lasers, and optics.


Tech News


New SOI process

Campbell, CA, start-up Silicon Genesis Corporation (SiGen) has developed a new silicon-on-insulator (SOI) technology that purports to offer a less expensive way to get higher quality wafers. SiGen has exclusive license to SOI technology from UC-Berkeley, with the school`s professor Nathan Cheung as a company co-founder and consultant.


Tech News


Philips acquires Active Impuls Systems

Philips Analytical, a division of Philips Electronics of the Netherlands, has acquired Active Impulse Systems, Natick, MA, to expand its line of wafer measuring instruments. Active Impulse, founded by a research group from MIT, developed a high-speed, noncontact system for measuring thickness, density, and concentricity of metal films. An instrument has already been installed at the copper bay at SEMATECH in Austin, TX, to measure copper films in both the CVD and CMP process areas.


Tech News


Bubble-free ozonated Di water for wafer cleaning

The presence of residual ozone gas bubbles during critical cleans, such as ozonated deionized (DI) water rinses following dilute HF cleans, can generate streaky particle patterns on the water surface. De-bubblers can remove only large bubbles at low liquid flow rates and carryover of small bubbles at high liquid flows is a problem in wafer cleaning. Particles tend to adhere to small bubbles and collect at hydrophobic wafer surfaces, possibly leading to wafer defects.


Tech News



Adapting aluminum-based technology from its CMOS fabs, engineers at Motorola are now applying a proprietary new "hot-metal" process to RF MOS devices in production. It replaces conventional gold interconnects on RF devices and achieves ten times better MTBF (mean time between failure) reliability. The significance of this process is that it is the first time gold metallization has been replaced on RF devices in almost 30 years, and offers more possibilities for the future of advanced, high-perfo


Tech News


I300I makes 0.12-um lines with 248-nm exposure

In cooperation with several equipment suppliers, lithography engineers at SEMATECH`s International 300-mm Initiative - I300I - have achieved 0.12-?m lines and 0.24-?m spaces on both aluminum and bare silicon (see figure and table). At I300I, the combined efforts of the process support and metrology center (PSMC) lithography team and the pattern technology group completed this work. The significance of this milestone is that it is "next-node" lithography done with existing generation 248-nm wavel


Product News


Dual reactor vertical furnace

Designed for 300-mm wafer processing, the A412 dual reactor vertical furnace is a combination of an LPCVD silicon nitride and TEOS tube and an LPCVD in situ doped polysilicon tube. It is configured with pre-purged FOUP cassettes and a nitrogen purged minienvironment, and is capable of 130-nm device processing. ASM Europe BV, Bilthoven, The Netherlands; ph 31/30-2298-411, www.asm.com.


Product News


Low-profile pressure transducer

Model TLD is a high-performance, low-profile pressure transducer/local display that meets the stringent standards of semiconductor pressure measuring applicatiions. Manufactured in a Class 10 cleanroom, it features 316L VIM VAR stainless steel parts with an electropolished wetted surface finish of 5-Ra maximum. Overall height is 3.5 in. The transducer`s signal amplifier is mounted within the display, with zero and span adjustments located on the display face. TLD models are offered in flow-throu


Product News


Metering valve

The Series 100 Accu-Flo metering valve is designed for precision gas admission in various vacuum processes and the control of system pressures as low as 1 ?10-11 torr. It is available in chrome-plated brass or 304 stainless steel, with various end connections. All valves are leak-tested on a helium mass spectrometer, having sensitivity of 1 ? 10 -10 std cc/sec. Key High Vacuum Products Inc., Nesconset, NY; ph 516/360-3970, fax 516/360-3973.


Product News


Wet enviroment robot

The Gencobot Wet Environment Robot (GB7-W), the latest addition to the GPR series robots, has a protective enclosure to prevent water penetration, addressing problems associated with CMP or wet process applications. These include slurry deposits in vacuum lines, oxidation, problems related to moisture/humidity, and premature belt/bearing failure when mounted upside down. The GPR system is a six-degrees-of-freedom, servo-controlled robot that performs cassette alignment and arm deflection compens


Product News


Intelligent robotic control

Autocalibration reduces setup and replacement time for wafer-handling robots by giving them the ability to teach themselves. The robot learns its environment using proprietary methods, keeping transport reliability high because robot action is based on real position information rather than the compounded tolerances of subjective teaching. Wafers are not misplaced or dropped and mean time to repair can be reduced from as much as four hours to as little as four minutes. MachineWorks, the fully int


Product News


PTFE chemical valves

These large-diameter (14 through 24 in.), high-performance PTFE butterfly valves have been added to the Series 22/23 line in both wafer and lug bodies, and offer the same chemical resistance of PTFE seats and encapsulated discs as the previously available 2 through 12-in. sizes. The bi-directional pressure rating for the large sizes is 150 psi (10 bar), and the temperature range is ?40 to 392?F (?40 to 200?C). The new size range also features the same energized stem sealing system that fully iso


Product News


Piezoelectric valve

The MV-112 is a precision gas flow valve that uses a piezoelectric bender element as a seal actuator. The bender element responds in milliseconds with precise movements directly proportional to a 0-100-V DC signal, suiting it to closed-loop flow or pressure control systems. It can also be manually controlled with any 0-100-V DC power supply. Features of the MV-112 include fail-safe operation, an externally adjustable seat to allow threshold voltage to be adjusted, a 7-?m filter on the inlet, sta


Product News


High-voltage power supply

With an insulated gate bipolar transistor design for high reliability, the DPS-30 high-voltage power supply features all solid-state switching, water cooling, and robust construction, and is air-insulated for easy access to components. Exhibiting <1% voltage regulation and <0.1% ripple, it provides 30 kW at up to 30 kV, with full over-voltage and over-current protection and high EMI-RFI immunity for operation near pulse discharges. Housed in a standard


Product News


Quick-disconnect couplings

ChemQuik CQH quick-disconnect couplings have a completely clear flow path and are designed to make possible instant disconnection during fluid transfer, from aggressive chemicals to ultrapure water. The couplings are made of polypropylene, making them resistant to a wide variety of chemicals and acids. This chemical


Product News


Ultrapure flared fittings

Chemfluor ultrapure flared fittings offer the highest pull-out strength, easy installation, and no cross-threading, and they can be used with a wide range of temperatures. The nuts are available in high-strength PVDF or high-purity PFA. These Teflon PFA 440 HP fittings are injection-molded under tightly controlled and monitored quality parameters. The large orifice of the fittings makes them highly suitable for use with CMP slurries, aggressive acids, hydroxides, and DI water. ASTI Corp., San Fr


Product News


Photoresist stripper

AZ EXP Kwik Strip is an amine-free photoresist stripper that is compatible with substrates of gallium arsenide, copper, nickel-iron, titanium-tungsten, and aluminum. It has a wide temperature process latitude, from 25-90?C. Kwik Strip contains solvents that are safe for employees and the environment - all ingredients are non-SARA reportable. Clariant Corp., AZ Electronic Materials, Somerville, NJ; ph 908/429-3500, www.azresist.com.


Product News


Ultrapure nitric acid

Offered as part of the Class 1 semiconductor chemical line, this new grade of ultrahigh-purity nitric acid meets sub-ppb specifications. It will be used in this company`s MAE mixed oxide etchants and PAE aluminum etchants. Developed to support sub-0.35-?m processes, the chemical is manufactured in a facility that includes two high-purity distillation units, an in-line sampling system, and four state-of-the-art storage vessels. Other chemicals in the Class 1 family include sulfuric acid, hydroflu


Product News


Post-CMP cleaner

Evergreen Model 204 is a double-sided scrubbing system for wafers up to 200-mm. It has two brush scrub stations, each with the PVA dual-brush system, loaded and unloaded by a high-speed, multiprocessing robot. A wet input station implements an initial soak; a separate rinse/spin chamber uses megasonic cleaning for final removal of ultrafine particles. The double brushes dispense cleaning chemistries through the brush core, eliminating contaminant buildup. On-the-fly control of brush speed and sq


Product News


DUV microscope

The LU2000-DUV inspection system allows fab operators to observe and analyze lines and spaces with widths down to 0.1 ?m by using visible light to inspect ultra-minute patterns and then changing (at the touch of a switch) to a laser light source at 266 nm to obtain bright, high signal-to-noise-ratio images that appear monochromatically on a monitor, all in real time. The system is optimized for inspection of masks, reticles, and various data storage media. It provides observation at up to 13 ima


Product News


Integrated film thickness measurements

The NanoSpec 9000 is an ultracompact thin-film measurement system that uses noncontact visible reflectometry and can be integrated into CMP systems to determine removal rates and uniformity; into deposition systems to determine growth/deposition rates and uniformity; into etch systems to determine uniformity and etch rates; and into lithoclusters to determine coat uniformity. The 9000 will complete a five-point measurement across a patterned 200-mm wafer in 15 seconds. The system incorporates an


Product News


Wafer dimensional measurement

The S9600A2 is a fully automatic metrology station that measures the dimension and shape of silicon and nonsilicon wafers, materials, and substrates with sub-micron accuracy. Using noncontact, auto-positioning backpressure probe technology, the S9600A2 provides very good characteristics and flexibility for measurement of thickness up to 10,000 ?m and bow and warp up to 2000 ?m. The station meets the need for noncontact measurement of any type of fragile, brittle, or polished material, and thick


Product News


Defect inspection and review

DART Version 2.5 software for Windows NT 4.0 is used with this company`s inspection tools for full automation of wafer inspection, allowing the user to locate, evaluate and classify defects at any stage of the manufacturing process. The user-configurable system is compatible with almost all stand-alone microscopes, wafer loader systems that handle both micro and macro inspection, and fully automated Optistation-series wafer inspection stations. DART is fully GEM/SECS-compliant, and it supports O


Product News


Remote monitoring/operation of ion implanters

The Dedicated Network Option allows the connection of any combination of this company`s high-energy, high-current, or medium-current implanters, by using a dedicated LAN. Key benefits of the system are: isolation of connected implanters from outside network traffic; remote operation and monitoring capability; and a secure network that can connect more than 200 implanters. The In-House Network Option can be implemented using the fab`s existing LAN or used in conjunction with the Dedicated Network


Product News


Non-cure chip adhesives

ABLELOC 5850 nonconductive tape adhesive is designed for high-reliability semiconductor applications. A thin layer of high-purity thermoplastic adhesives is coated onto both sides of a polyimide carrier, which maintains electrical isolation between the bonded substrates while the adhesive provides good mechanical strength. Both adhesives are designed for high-speed assembly operations, where dwell time and device thermal exposure must be minimized. Since the adhesives are a true thermoplastic, n


Product News


Remote control for dry pumps

This remote control package for VRC dry pumps gives the operator complete control of all parameters of pumps located up to 300 feet away. From the 14 ? 8.75-in. (356 ? 222-mm) panel, the operator can control power on/off, soft start, pumping speed, vacuum level, and gas ballast flow. The values of all these parameters are displayed on the panel, and outputs are available for process logging. The system is available for operation from almost any power line: 220, 240, 300, 460, 1 or 3 phase, and 5