Table of Contents
Solid State Technology
Year 1998 Issue 10
| DEPARTMENTS
Letters Visibility for SEMI standard
We are always interested in getting some visibility for SEMI standards and, in this case, are hopeful that the article "More Asian participation needed to develop global SEMI standards," published recently, will "in-spire" many Asian technologists to participate in our technical committee activity. It was a pleasure working with you.
Letters Stuffed barrier claims
With regard to Ron Nowicki`s letter in the August issue (p. 18), I think the first use of "stuffed barriers" was described in a 1976 paper (P.H. Holloway, et al., J. Appl. Phys. 47, 3769). Evaporation of an Au layer over the Cr adhesion layer was interrupted, and formation of Cr2O3 in the Au grain boundaries was accomplished by heat treatment in O2 before completion of the Au deposition. One must be especially careful to claim a "first" in anything because of the specialization of fields. Nowick
Letters Germanium emitters questioned
I read with great interest "Deposits on Semiconductor Corona Emitters in Cleanroom and Simulated Air" (July, p. 249) by Dr. Charles Noll of Simco Corp. While I question the relevance to the semiconductor industry of testing in artificial atmospheres and Class 100 nonsemiconductor cleanrooms, I am writing about the inadvisability of introducing germanium ionizer emitter points into silicon manufacturing.
World News Worldwide highlights
Slow July for equipment orders. North American equipment orders for July were at their lowest level in almost four years, and the book-to-bill ratio of 0.69 is the lowest in at least seven years, according to SEMI figures. This is due to shipment rates dropping closer to order levels, and not to any expected near-term increase in bookings, which may continue to dip into the fall. North American semiconductor equipment bookings dropped to $757.3 million in July (see table), a 19% month-to-month d
World News USA
Novellus Systems has cut another 10% from its workforce, and plans to take a $5 million charge to cover the cost of the program in its 3Q. This is the second round of layoffs Novellus has initiated this year, bringing the total cut to 20% of its workforce, or approximately 300-350 people. The first layoff in June was the first official layoff in Novellus` history.
World News Japan
Tokyo Electron Yamanashi Ltd. (TEL) and Air Liquide America Corp., Houston, TX, have partnered to characterize the emissions of etch tools at TEL`s research facility in Nirasaki, Japan, to provide IC manufacturers with more accurate data on tool emissions. The study quantified emissions from TEL`s Unity oxide etch tools by performing a mass balance around all fluorine-containing species entering and exiting the tool.
World News Asia / Pacific
Taiwan Semiconductor Manufacturing Co. (TSMC), Hsinchu, Taiwan, has ramped its 0.25-?m process to high yield production in record time to support accelerating customer demand. About 15 0.25-?m customer products are not being fabbed at TSMC. These include products for the CPU, programmable logic, DSP, and multimedia markets. More than 100 customers are now running 0.25-?m design libraries from TSMC`s 14 library partners.
World News Europe
RTP systems maker STEAG AST Elektronik, Kirchheim, Germany, has filed a suit in German courts alleging Applied Materials` Centura RTP system infringes its German RTP-related patents granted in 1993. Last year, Applied filed suit against STEAG in the US claiming the firm infringed three of its RTP-related patents; STEAG has filed a counterclaim.
World News Rest of the world
Luxell Technologies Inc., Mississauga, Ontario, Canada, a developer of flat panel thin-film electroluminescent (TFEL) displays, has received a contract from US-based Oceaneering Space Systems to supply display modules that will be used in the trace gas analyzer system under order from NASA. The systems will include Luxell`s patented sunshine legible black layer TFEL display.
Tech News Linkage of test data with front-end metrology
With chipmakers striving to boost profits through improved fab productivity and yield enhancement analysis, much effort is being devoted to developing systems for collection and analysis of process data. One of the missing links, at least from an open standard point of view, is some software framework that can unite back-end test and yield data with information from front-end metrology tools.
Tech News Factory Mutal and Sematech establish protocols
Work has begun in earnest at Factory Mutual`s research and engineering arm on a SEMATECH-contracted project that will help establish protocols for determining how new noncombustible plastics fit with existing fab processes.
Tech News Wafer-level packaging technology milestones
Texas Instruments (TI) has begun applying wafer level packaging with its storage product ICs, including wafers from Silicon Systems and Intersect Technologies. Specifically, TI is using Flip Chip Technologies` (FCT) proprietary solder wafer bumping technology and service (see "Chip scale and flip chip: Attractive solutions," SST, July 1998, p. 239). FCT is already bumping wafers containing TI Storage Products` preamplifier devices for hard disk drives, and other devices will be added in 4Q98.
Tech News IBM to adopt SIMOX material
In a move that boosts both silicon-on-insulator technology in general, and the SIMOX method of SOI formation in particular, IBM Microelectronics will start volume production of high-speed logic devices on SIMOX substrates next year.
Tech News Study shows deviceNet widely adopted
A recent user study by industry analyst Venture Development Corp. (VDC) reports extensive and expanding adoption of DeviceNet - the sensor actuator bus adopted by a large percent of semiconductor manufacturing equipment suppliers.
Tech News ARO coating passes 1 billion pulse mark at MIT
Researchers at MIT Lincoln Laboratory, Lexington, MA, have reached a milestone in durability testing for 193-nm lithography applications with a coating from Alpine Research Optics (ARO), Boulder, CO. Specifically, an ARO "high reflector" coating has been exposed to greater than 1 billion pulses at a fluence of 15 mJ/cm2/pulse - within the range of concern - without showing any significant decrease in reflectivity. Previously, one of ARO`s antireflection-coated components reached 1.2 billion pul
Tech News TI plans 0.1-um for 2001
Texas Instruments (TI) has disclosed aggressive plans to bring a 0.1-?m patterned transistor mixed-signal CMOS process into volume production on 300-mm wafers by 2001. The production technology would enable effective transistor channel lengths of 0.07 ?m, and 1.2 V operation; up to 8 layers of all-copper interconnect are envisioned, as well as a yet-undetermined low-k dielectric material. TI`s plans will push all equipment and materials suppliers to the limits of rapid development, none more so
Tech News Video from
Vanguard International Semiconductor, Hsinchu, Taiwan, has licensed high-performance CMOS "camera-on-a-chip" technology from Lucent Technologies` Bell Labs, Murray Hill, NJ. This technology is slated for marble-sized video cameras ideally suited for PC videoconferencing and security camera application. Each camera will use a single quarter-inch silicon chip, yet produce real-time video images that rival the quality of those produced by camcorders. With Vanguard producing the CMOS IC, a third-par
Tech News Army grant for artifical eye
The University of Michigan College of Engineering has been awarded a $1.6 million US Army grant to design an artificial eye on a microchip - an optoelectronic device capable of sensing and processing light. Led by Professor Pallab Bhattacharya, director of the U-M Solid State Electronics Laboratory, researchers hope to combine lenses, tiny lasers, and tunable light detectors to build the chip.
Tech News SGL offers alternatives to graphics
A carbon/carbon composite material once destined for use in rocket nozzles is now being introduced as an alternative to graphite in the CZ crystal pulling furnace market, and may help increase wafer yields by up to 7%.
Product News Dispensing systems for fluids
The Millennium Series dispensing system, featuring the DP Series linear pump, is designed specifically to apply the thick thermally conductive fluids used on microprocessors and other high-speed/high-power devices. The throughput is more than 1000 units/hour. Key to effective thermal compound dispensing is the Millennium Series 24/7 Automatic Process control technology. Closed-loop control over part, fluid, and thermal management ensures part-to-part consistency and high yields with minimal oper
Product News Baseplat feedthroughs
This new line of baseplate feedthroughs with a simple flexible design can be used in multiple configurations to meet all high vacuum applications. The feedthroughs include more then 25 standard baseplate feedthrough components with high-quality ceramic-to-metal seals, 304 stainless steel hardware, and Viton O-rings to extend bakeability to 200?C. Standard component configurations include components with up to 10 leads, voltage ratings to 12 kV, and current capacity up to 250 amps. Some feedthrou
Product News Polymeric materials for wafer handling and processing
Vespel is a unique polymeric material that exhibits good cleanliness, resists chemical attack, offers good dielectric and insulative performance, minimizes in-chamber particles, and provides dimensional stability. Because it is inert to process gases, Vespel is able to solve aluminum and aluminum fluoride contamination problems by providing protection against denser plasmas that attack aluminum chamber walls. The material is used in etch applications in the process chamber for chamber liners, ga
Product News Rooftop lithography chemical air filter
The Vaporsorb rooftop lithography chemical air filter offers protection against the full range of total molecular base gas phase contaminants. It effectively removes airborne molecular contaminants, reducing the discharge of amines to the sub ppb range, 1.5 to 6 ? better than comparable filters. They also protect against the NMP bleeding problem found with ion exchange filters. The filters are thoroughly tested on DUV photolithography tools and in controlled laboratory conditions. The filters ca
Product News Paddle wheel for control of liquid flows
The Teflon paddle wheel is designed to provide performance and accuracy for the monitoring and control of liquid flows. TPW Series flowmeters utilize fiber-optics to send a beam of light across the flow path. Fluid flow will cause the paddle wheel to rotate, its blades interrupting the light beam and generating a pulsed 10-30 V signal. The signal is suitable for use with optional displays and controllers or the user`s own electronic control systems. These flowmeters are for use with aggressive a
Product News Two-stage heated valve
This new line of HPS Jalapeno series heated two-stage vacuum valves is designed to be used in applications such as low pressure CVD, where production byproducts can condense and build up. The valve will reach a minimal internal temperature of 150?C. The two stages-a main isolation valve and a small bypass valve-allow the valve to slow initial system evacuation. The valves are available in angle and incline models with seal, limit switch and solenoid options. The cleanroom-compatible jackets are
Product News Changeover manifold
The Model 8303 ChangeOver Manifold eliminates downtime by providing constant, uninterrupted gas flow. It also permits scheduled cylinder changeouts whenever convenient and increases system purity for tighter quality control. A convoluted diaphragm provides outlet pressure stability with changes in flow. An internal diaphragm stop provides additional safety. A high pressure valve control enhances system purity by eliminating contaminants and making purging convenient. Scott Specialty Gases, Plums
Product News Wafer bumping printer for stencil printing
The WP-1 wafer bumping printer is used for precision stencil printing of solder paste on silicon wafers. The system is also designed for printing conductive epoxies and other materials on wafers. The printer is capable of processing up to 60 wafers/hour. The WP-1`s "look-up, look-down" vision alignment system controls alignment to within ?5 ?m. It is capable of handling wafers up to 200 mm in size, and paste is dispensed automatically from cartridges with a choice of 300, 600, or 1200 g capacity
Product News Furance cooling system
The FastCool Element System accelerates semiconductor furnace cooling while the product remains in the process chamber. During temperature ramp segments, it maintains a controlled atmosphere that prevents unwanted oxide growth and protects Qss. With the Aztec Black Max heating technology, the system provides cooling rates of about 15-20?C/minute for operating temperatures between 800-1200?C; 10-15?C/minute for temperatures between 500-800?C; and 2-10?C/minute for temperatures below 500?C. FastCo
Product News Compact, miniature UHV gate valves
The Series 01 mini gate valves feature conventional CF, ISO-KF flanges, or the new quick-change flange design for fast mounting. The valves are available with aluminum or stainless steel bodies in sizes from 5/8 in. to 2 in. inner diameter, and provide 50,000 cycles before their first service. The valves with aluminum bodies have Vatlock sealing to distribute sealing forces evenly without cams, and the stainless steel valve has Monovat sealing with only one moving part in vacuum. VAT Inc., Wobur
Product News Exhaust abatement scrubbers
The C-Series scrubbers are built of Flametec Corzan 4910 CPVC, conforming to the new Factory Mutual test protocol FMRC 4910. They are used to abate toxic and corrosive exhaust from such semiconductor processes as metal etch, poly etch, CVD, epitaxy, and wafer clean. The scrubbers are designed for sub-fab placement and are available with capacities from 80 LPM to 1500 CFM. Gradient Point Corp., Scottsdale, AZ; ph 602/998-1079, fax 602/998-0553, e-mail [email protected].
Product News Minienviroment air handling
These custom minienvironment air-handling systems are designed to meet I300I specifications. They precisely fit the equipment footprint to provide 100% filter coverage over a controlled process, resulting in maximum laminar airflow control and achieving ISO Class 2 or better. The 300-mm air handling units give more precise contamination control, are more chemically resistant, lower for molecular outgassing and particle shedding, and more durable than filter/fan units constructed with standard fi
Product News Photoresist detector
The Preclude photoresist detector continuously polices degas chambers and detects wafers that are contaminated with resist in PVD cluster tools. It scans each wafer as it is degassed and sounds an alarm when even trace amounts of resist compounds remain on a wafer because of incomplete or missed strip. A signal from the high-sensitivity sensor can be used to shut down a cluster tool automatically to contain damage and avoid yield problems. Leybold Inficon Inc., E. Syracuse, NY; ph 315/434-1100,
Product News Low-defectivity CMP slurry
SEMI-SPERSE D7000 is a low-defectivity CMP slurry designed for devices of =0.18 ?m. As design rules shrink, small particles and scratches that were previously noncritical can become yield-endangering microdefects. High-purity CAB-O-SIL fumed silica grains serve as the abrasive component in the slurry, and highly stable dispersion controls the level of the particles that can lead to wafer level defectivity in 0.18-?m processes. This defectivity reduction achieves good planarization rates and unif
Product News Dispense connection system
The NOWPak SmartProbe key-coded connector is designed to provide a clean and completely sealed means for dispensing chemical from the NOWPak container and to eliminate chemical misconnections to process equipment. Typical applications include DUV, i-line, and g-line photoresists, polyimides, HMDS, developers, coatings, removers, and related ancillary chemicals. The system is now available with a pressure assist option, which interfaces with pump-style delivery systems that require pressure assis
Product News Chemical blending in the fab
Smart Cart is a chemical transfer system for chemical blending that allows operators to collect a chemical from a bulk supply and transport, dispense, and blend that chemical safely and accurately with DI water at the desired concentration at numerous locations in the fab. Smart Cart is under PLC control and is powered by a rechargeable battery pack system. Chemical is stored in a 30-gallon tank in the cart, and a scale monitors the liquid level in the tank by weight. The tank is filled through
Product News Chemical generation
The GCG-CHEMPURE system generates ultrapure chemicals, including NH4OH and HCl. Designed to be housed in the chemical room of a fab, the compact gas-to-chemical generation system can help to reduce particles and metallic impurities in wafer-cleaning process chemicals. The on-site generation of chemicals is achieved by mixing ultrapure water with ultrahigh-purity anhydrous gases - such as ammonia, hydrogen chloride, or hydrogen fluoride - to form wafer-cleaning or oxide etching chemicals. Process
Product News PVA material for brush rollers
The Microclean polyvinyl alcohol (PVA) product line is designed to meet chipmakers` needs for increasing cleanliness through reduced levels of contamination from particles, trace metals, and ionic species, eliminating the need for an extensive pre-cleaning process prior to brush installation. Microclean PVA brush rollers are well-suited for use in the reclaimed wafer manufacturing process. Rippey Corp., El Dorado Hills, CA; ph 916/939-4332, fax 916/939-4338, www.rippey.com.
Product News High-purity chemical containers
Manufactured by multilayer blowmolding, the FluoroPure high-density polyethylene drum offers safe and ultrapure transport of electronic-grade specialty chemicals in a single- or multiple-use container. Multilayer blowmolding technology allows the use of different material characteristics for each layer. Unlike monolayer drums, multilayer drums involve no tradeoff between safety and purity, because the chemicals do not come into contact with the additives that enhance the drum`s safety. The "wett
Product News Pirani gauge sensor
The HPS Series 345 shielded sensor measures from atmosphere to medium vacuum. 345 sensor tubes will operate with the Series 945, 917, 937, and 953 gauge controllers. It has a measurement range of 10-4 torr to atmosphere. Since the sensor is shielded, it can be used in conjunction with CE marked gauge systems, allowing the Series 945 and 937 to be CE marked with Pirani sensors. The sensor can be installed in any orientation, and tubes are interchangeable without user calibration. MKS Instruments
Product News Vacuum robot
Using the latest robot technologies and the MagnaTran 7 design, this series of robot options incorporates into new process tool designs or retrofits to existing in-fab tools. The MagnaTran 7 configurations have been enhanced to include a sensor bus interface, long Z-stroke, a cost-effective 2-axis configuration (radial and rotation without vertical Z motion), and enhanced safety features that include the Power Pak battery backup module and enhanced user-customizable interlocks. Brooks Automation
Product News Precision magnetic manipulators
These precision magnetic manipulators, Compact PMMs, move samples in and out of both high- and ultrahigh-vacuum systems and control motion within these environments. They provide independent or simultaneous linear and rotary motions in a vacuum system and are used for actuating shutters or moving substrates, as well as for sample introduction. Compact PMMs are well suited to sample introduction via loadlock in SEM, surface analysis, semiconductor, and other thin film processes. Surface/Interfac
Product News Quartz acid heater
The IQ Quartz in-line acid heater features an ultrapure quartz flow path, free of particle traps and O-ring seals, and a fused resistive heating element on quartz that generates heat transfer up to 99% efficiency and handles temperatures up to 180?C. This plenum-compatible heater requires no maintenance during its life expectancy, which can exceed 20,000 hours. The IQ`s 3-kW, 208-V modules make up three, six, nine, or 12-kW turnkey systems. There are no infrared bulbs to replace and risk of ioni
Product News Post-plasma strip and post-ash cleans
The HydrOzone process, for post-plasma strip, post-ash cleans, organic cleans, and resist stripping applications, uses a mixture of ozone and water to achieve low-cost cleaning, eliminating sulfuric acid and hydrogen peroxide from the process and reducing water consumption by as much as 99%. The process operates at elevated temperatures, increasing the reaction rate and achieving resist removal at rates up to 15 times greater than subambient processes. It also uses less ozone than most systems b
Product News In situ wafer temperature measurements
The field-proven wafer temperature data logging and monitoring technology of the Thermal TRACK handheld maintenance and troubleshooting product line is now available for use with ProcessProbe thermocouple-instrumented wafers. Designed for CVD and RTP process tools, the new, portable Thermal TRACK/TC logs thermocouple temperature data in real time from an array of up to 17 sensors embedded in wafers to provide direct in situ wafer temperature measurement. Key applications include checking and adj
Product News Wafer surface charging
CHARGER 200 is a tool for charging the surface of wafers by using corona discharge, allowing the measurement of the bulk lifetime on oxidized surfaces in connection with the ?-PCD method. The technique is reversible and nondestructive, permitting suppression of surface recombination at Si/SiO2 interfaces, suppression of inversion layers for high-frequency C-V measurements, reduction of the equilibrium time for quasi static C-V measurements, and breakthrough measurements at insulating layers. Ame
Product News Ultrapure oxygen
Megapur UHP O2 is 99.99995% pure oxygen with impurities of =500 ppb. It is produced electrolytically from water, and to preserve its integrity it is converted to cryogenic liquid form. Megapur is available in cylinders and dewars. MG Industries, Malvern, PA; ph 610/695-7400, fax 610/695-7696, e-mail [email protected], www.mgindustries.com.
Product News Ultratrace oxygen analyzer
A low detection level of 75 ppt and 50 ppt sensitivity has been achieved in this production-floor oxygen analyzer, the Delta F NanoTrace II. It provides APIMS performance in a hand-carry portable analyzer, and features that allow hands-off operation include: scheduled automatic calibrations; automated data logging and graphing for up to 30-day plots of oxygen concentration with high-resolution zooms; and an automated maintenance log that supports good metrology practice and most ISO requirements
Product News Thermal mass flow meters
The Smart series of thermal mass flow meters provides a variety of self-diagnostic and field-adjustment features, as well as the ability to confirm or "field-validate" the meter`s calibration and measurement accuracy in the field. The microprocessor-based transmitter integrates the functions of flow measurement, flow-range adjustment, meter validation, and diagnostics in a compact, explosion-proof housing. Mass flow rate and totalized flow, as well as other configuration variables, are displaye
Product News Full-flow ozone sensor
Model gFFOZ ozone sensor is designed for in situ, full-flow monitoring of process ozone. Installed directly in-line in a process stream at the gas delivery point, it provides continuous, in situ measurement of ozone at concentrations up to 400 grams/m3 or 26% w/w (at standard temperature and pressure). It can be installed in a process stream at flow rates from as low as 0.2 slpm up to 15 slpm or more. The sensor uses high-purity wetted materials and is "clean ready" for semiconductor processes.
Product News In situ process monitoring system
Ultratrace-IQ is designed for gas monitoring of process chambers on PVD, CVD, and etch tools, and incorporates a quadrupole gas analyzer designed for process integration and control, with many features aimed toward the stringent requirements of 300-mm wafer processing. Features include: stored process recipes (methods) for easy recall; monitoring and alarming on any number of gases; a gas database for easy gas selection; derived values for endpoint calculation; autotuning circuitry to improve in
Product News High-performance moisture analyzer
AQUAPRO monitors moisture from 200 ppb to low ppm for high-purity gas production and handling. Effective for continuous, on-line detection of moisture upsets, it also provides rapid response to moisture levels for cylinder, tank, or pipeline loading. In all cases, AQUAPRO offers rapid start-up, fast recovery, and ease of use. With various output signals, dual alarm relays, and low COO, it is well suited to such processes as cryogenic separation plants, high-purity cylinder certification, glove b
Product News Ultahigh-purity pressure transducer
Model 216 pressure transducer, for specialty gas and liquids handling, is designed for vacuum to high-pressure measurements. It is suitable for applications requiring rugged packaging, high performance, and ultralow cavity volume (0.003 in.3), and offers ? 0.25% FS accuracy and full scale output of 0.2-5.2 VDC or 4-20 mA. The 216 measures pressure ranges from 0-50 to 0-3000 psig, as well as ?14.7-50 to ?14.7-3000 psig. Its small size, light , rotatable face seal fittings, and rotatable cover all
Product News Wafer transport vehicles
The Machine Loading Robot Vehicle is a track-guided vehicle that transports 300-mm wafers carriers between process tools and stockers in a process bay, loading and unloading individual wafer carriers. It is best suited to high-throughput bays with multi-lot batch tools. The Ergoloader Person Guided Vehicle (PGV) is a flexible, lightweight, manually operated cart for intrabay transport of 300-mm wafer carriers among bay stockers and process tools. It is available in both side-by-side and two-stac
Product News Chip tray feeder system
The automated TrayStak feeder system reduces handling and increases throughput by delivering 2-in. chip trays to production assembly machines without interrupting operation. While the operator loads and unloads full chip trays and empties, the placement machine simultaneously picks die from the chip tray at the pick point. Handling chip trays in stacks, rather than traditional trays, saves space. Shorter xy moves and continuous feed and load maximize processing speed. Weighing only 12 lbs, TrayS
Product News EGA/lead inspection
PPT4240 is a turnkey, in-tray component inspection system that uses SMI 3-D technology to achieve speeds of >10,000 pph for complete coplanarity, package and mark inspection. At a resolution of 2.5 ?m, it offers almost 3? better resolution for coplanarity inspection than available laser-based systems. The 4240 provides complete inspection for a range of semiconductor components, including BGA, QFP, CSP, and other bumped or leaded (gullwing or J-lead) components, with almost no changeover. All co
Product News 193-nm ArF excimer laser
The NovaLine A1000 excimer laser, intended for DUV lithography, produces 5 W of output power at a 1-kHz repetition rate. This power is delivered with a spectral bandwidth of <0.6 pm (FWHM), enabling the laser to generate circuit structures <0.18 ?m, using all-refractive projection optics. Output is actively controlled using the PowerLok energy stabilization system to achieve an overall exposure dose stability of better than 0.8%. Lambda Physik Inc., Ft. Lauderdale, FL; ph 954/486-1500, fax 954/4
Product News Stepper lithography exposure tool
Model SIM-3300, an exposure tool that is used to assist in process development, is specifically designed for 248-nm DUV photoresist testing, but is easily adapted for NUV as well. The system includes a light source, a precision stage, feedback circuitry, an intensity controller, and menu-based software. OAI, Milpitas, CA; ph 408/263-4944, fax 408/263-6389, www.oainet.com.
Product News Laser micromachining
Model SIM-3300, an exposure tool that is used to assist in process development, is specifically designed for 248-nm DUV photoresist testing, but is easily adapted for NUV as well. The system includes a light source, a precision stage, feedback circuitry, an intensity controller, and menu-based software. OAI, Milpitas, CA; ph 408/263-4944, fax 408/263-6389, www.oainet.com.
Product News SEM and TEM sample perparation
The Maestro series of laser production machines is based on excimer laser technology, focused on micromachining plastics, polymers, ceramics, glass, and semiconductor materials with resolutions better than 1 ?m. Typical applications include micro hole drilling, selective polymer or thin metal removal, blind holes or groove fabrication, microstripping, micromilling, and low-end microlithography. Resonetics Inc., Nashua, NH; ph 603/886-6772, fax 603/886-3655, e-mail [email protected], www.reson
Product News Film thickness metrology tool
SELA STRATEM is an advanced sample preparation system for scanning (SEM) and transmission (TEM) electron microscopy. It combines automation with enhanced target accuracy, increasing process yield and effectiveness by reducing overall an-alysis cycle time. SEM cross-sections are processed in 15 min and preparatory samples for TEM require only 35 min. For extensively used SEM analysis, the system performs integral die and wafer cross-sectioning applying patented microcleaving technology. Using the
Product News Equipment automation software
SMART-Station software fully automates the operation of fab processing and metrology equipment, providing the critical interface between shop-floor control systems and process and metrology tools on the factory floor. Combined with lot-tracking systems, SMART-Station reduces costly processing mistakes and material losses in both SMIF and non-SMIF fabs by ensuring that the correct lot is processed by the proper equipment with the right recipe. The system coordinates the manufacturing execution sy
Literature Literature Update
A full-color brochure presents equipment engineers with information on new heating, sensing, and control solutions, and explains their integration throughout the entire semiconductor fabrications process. The company offers turnkey system integration as a one-stop supplier that integrates the thermal source with panel and rack mount control packages. R&D is geared toward thermal technologies, new materials, and manufacturing processes. The brochure describes gas and chemical handling equipment;
Asiafocus NEC opens new R&D fab
Japanese chip giant NEC has formally opened its new semiconductor R&D facility at its plant in Sagamihara, outside Tokyo. Known as the UC Plant, the facility is designed to handle process development for geometries from 0.18 to 0.07 ?m over the next decade.
Asiafocus 1st Silicon builds fab in Malaysia
A Malaysian fab project has obtained funding and named former Thesys executive Claudio Loddo to head its efforts. Plans now call for the 1st Silicon organization to begin construction of a 200-mm foundry fab in Q3 of this year, and begin customer delivery of wafers by the beginning of 2000, with capacity of 20,000 wafers/month envisioned that year.
Asiafocus Chartered implements CMP
Chartered Semiconductor`s CMP program is seeing rapid growth as it brings up 0.35-?m and below processes, but the Singapore foundry is finding that a lack of local consumables support has become a critical issue in implementing the CMP process throughout its fabs. Jiazhen Zheng, Chartered section manager for R&D/CMP, cited several support issues of concern, including long response and repair times, lack of local warehouse supply, and insufficiently trained field service engineers and support eng
Asiafocus New Taiwan consortium
Taiwan`s government-sponsored Electronics Research Service Organization (ERSO) will be involved in the formation of a SEMATECH-like research consortium on the island. Taiwan Semiconductor Industry Association members will contribute 50% of funding, with the government paying the other half. With the decision to form the consortium only recently taken, most details remain to be worked out. Genda Hu, ERSO`s leader, stated that the new organization should be formally established within a year. Hu
Asiafocus TEL sees US sales grow
Tokyo Electron Ltd. (TEL) is feeling the industry downturn in many regions, but US sales are continuing to increase this year, says Barry Rapozo, president of Tokyo Electron America (TEA). "My revenue is growing this year, though that doesn`t mean we`re not feeling the effects," he commented. "My rate of growth is different; maybe not as high as it would be if there were no recession." With TEL`s strategic effort to boost US sales, TEA is adding support personnel, but does plan cost controls, "t
Eurofocus Siemens to close
Siemens is planning to close its North Tyneside, England, DRAM fab after a significant manufacturing contract with Taiwan`s Mosel Vitelic was terminated and efforts to find a buyer for the plant failed. The shutdown will affect about 1100 employees.
Eurofocus Philips invests in discrete technologies
In an investment of more than $106 million, Philips Semiconductors Inc., Sunnyvale, CA, an affiliate of Philips Electronics NV, Eindhoven, The Netherlands, has opened PowerFab 2, an advanced power discrete fab and the company`s second manufacturing plant located in Hazel Grove, Stockport, England.
Eurofocus Hyundai freezes Scotland fab
Hyundai Semiconductor Europe has once again postponed work on its fab in Dunfermline, Scotland, and this time is considering a direct move to the project`s second phase: 256-Mbit DRAMs on 300-mm wafers.
Eurofocus Assembly foundry opens in Belgium
Custom Silicon Configuration Services NV (CS2), Zaventem, Belgium, is a new European semiconductor assembly foundry company for advanced packaging technologies. CS2 has been established through IT-Partners, a private IT-oriented venture capital fund. CS2 will provide semiconductor assembly services to major European semiconductor manufacturers, and to US and Asian wafer fabrication plants with products destined for European original equipment manufacturers. The nature of the business will be sub
Eurofocus RVSI Vanguard
Tucson, AZ, a maker of automated solder ball placement systems for backend package assembly, has added two new European representatives - High Tech Trade GmbH (HTT) of Germany and Alliance Sales (Europe) Ltd., Hampshire, England - to support the expanding semiconductor market in Europe. HTT provides sales and service coverage in Germany, Belgium, Switzerland, Austria, Holland, and Luxembourg. Alliance provides sales and service in the UK and Scandinavia.
News News Updates
Praxair, Danbury, CT, said it is expanding its gas and chemical services with Praxair Point One fab integration, which offers gas and chemical management from initial planning through startup. The offering is part of an overall shift within the industry to outsource gas and chemical management to suppliers. Praxair`s Point One service includes system design, integration, piping installation and qualification, gas handling and distribution equipment, on-site gas production, bulk atmospheric and p
People People Updates
Denmark Automation, Sunnyvale, CA, has named Mila Genov president and CEO. She has more than 15 years of experience in the semiconductor industry, in a number of executive-level marketing and manufacturing positions and as cofounder of a wafer/FPD robotic transfer systems manufacturer. Mila Genov will also serve as the chairman of Genmark`s board of directors. Ian Raphael has been appointed VP of engineering and GM. He has more than 25 years of experience, most recently as VP of technology and e
Lithography Nine-inch reticles: An Analysis
One of today`s possible fundamental changes for semiconductor manufacturing is the adoption of so-called 9-in. reticles to replace 6-in. reticles. After they were officially defined in 1997 as 230 ? 230 ? 9 mm, the industry turned its attention to developing an infrastructure and defining standards for manufacturing 9-in. reticles. However, whether these larger reticles are adopted into production manufacturing or not is not just a question of availability, but rather requires a clear analysis o
Lithography Fab planning: trading in your spreadsheet
Every semiconductor production manager has the responsibility of maximizing the company`s return on assets while meeting customer expectations. The classic tool for this effort -an elaborate spreadsheet -is woefully inadequate to handle the dynamics of today`s semiconductor manufacturing. Increasingly, those responsible are turning to advanced planning and scheduling software tools. Here is a guide on how to implement these tools, what to expect, and the cautions.
Lithography Hazardous gases emitted by ion implanters: Characterization and abatement
Ion implantation processes use a number of hazardous and reactive materials to dope target substrates. While the use of SDS gas sources brings about significant safety improvements, there is insufficient information about the nature and volume of gaseous emissions discharged during ion implantation. Such information is necessary to assess post-pump environmental and safety hazards and to design abatement equipment specific to ion implanters. Our studies presented here provide a complete characte
Lithography Y2K optical lithography
With this issue, Solid State Technology begins its 1998 special focus on optical lithography for the year 2000. Looking ahead, the current Asian contagion or financial turmoil is expected to affect revenues and profits in the semiconductor industry for several years. Elsewhere, the approach of the Y2K problem, or software bug associated with the year 2000, is attracting much attention. For optical lithography, the equivalent concern is: What are the potential Y2K technology issues that could lim
Market Watch 1998 capital spending trends: Boom to bust
Two of the driving forces in the IC industry today are capacity and production (output). The 1990s have thus far experienced both extremes of the capacity spectrum. While the 1993-1995 timeframe witnessed a paucity of IC capacity worldwide, the industry made a 180? turn beginning in 1996. The overcapacity situation that began in January 1996 continues to be a drag on IC industry dollar-volume growth in 1998.
Editorial Out with conglomerates; in with alliances
Business structures are always changing. A few decades ago, huge conglomerates brought together disparate businesses into massive cash flow machines. Harold Geneen, who learned the style in the aerospace industry at Raytheon, honed it at ITT, where he became the king of the conglomerateers. His relentless push for growth built ITT into a multinational behemoth, and many other corporations followed the model. Geneen, however, was noted for the 16-hour days he spent learning more about the busines
|
FEATURES
Feature Products Automated DUV inspection system
Axiospect 300 is a 300-mm automated DUV system for inspection and defect analysis of 0.13-?m geometries and beyond. It offers complete DUV inspection capabilities, such as visual defect review and classification, automatic defect classification (ADC), second optical inspection, and wafer sorting - in a fully SMIF platform. Software is based on Windows NT and includes digital image processing and management, with GEM/SECS-II compliance, defect review file support of KLA-Tencor, Inspex, and Orbot
Feature Products Data analysis software for parametric test
KTE WaferMap software is used for process monitoring and device characterization. It offers comprehensive wafer mapping, statistical analysis, and pass/fail determination of real-time or stored test results. Separate wafer-centric GUIs are tailored for production operators and development engineers. The live pass/fail screen gives the parametric test operator a comprehensive overview of the testing process. As each site test is completed, the on-screen wafer map is updated in either red (site fa
Feature Products Automated in-line dispense nd cure system
This high-speed, fully automated fluid dispensing and curing system handles a wide range of advanced semiconductor package and board assembly applications. The process module integrates Millennium dispense technology with Variable Frequency Microwave (VFM) curing technology. The M-600 Millennium series automated fluid dispensing system provides complete software control over part handling, fluid delivery, and thermal management. Calibrated fluid delivery, precision multizone heating, proprietary
Feature Products Machine vision inspection software
Patinspect is a machine vision software tool for detecting and classifying defects on objects. Incorporating PatMax object location technology, it can accurately find defects even when the object has been rotated or its scale has been changed from the original, trained position. It can also reliably detect flaws along the edges of an object. Semiconductor applications that will benefit from PatInspect include inspection of die, leadframes, probe marks, and IC marks for defects. Cognex Corp., Nat
Feature Products Multichamber RTP system
The AST EVOLUTION rapid thermal processing (RTP) tool is an advanced multichamber system, whose primary application - in the advanced configuration - is gate-stack formation, integrating pre-clean, RTO, and RTCVD modules. In its basic configuration, the system runs 200-mm/0.18- and 0.15-?m standard RTP applications such as silicide formation and implant anneal. The RTP module features a rotating, all-quartz process chamber for cleanliness and process flexibility. Pattern effects are reduced, due
Feature Products STEAG AST Electronik
Moves automation software, for the XL800 series of SEMs and DualBeam defect review and characterization tools, provides operators with fast, accurate, and automated access to the best available image of a specific submicron defect. The stage software increases the number of defects/hr that can be characterized by automatically compensating for sample rotation and tilt, controlling complex stage motions, and creating wafer height maps. Operators can bring a defect into focus quickly and concentra
Feature Products Thermal control system
The ETC 1000 thermal control system delivers very good performance for die and transistor junction temperature control, especially for high-power devices such as microprocessors and system-on-chip ASICs. As power densities increase above 10 W/cm2, the effectiveness of response to changes in DUT dynamic power can diminish quickly. The ETC 1000 is not limited by this power density barrier. It offers ? 2?C junction temperature control for power densities of 30 W/cm2 and beyond, and features a setp
Feature Products Vibration isolation system
STACIS 2000 is an active piezoelectric vibration control system that also incorporates passive vibration isolation, virtually canceling vibration across a broad frequency range. The main components of the system are a user interface controller and three or four active, independent isolators positioned under the equipment they serve. Each isolator houses five piezoelectric actuators and a passive rubber mount that is 100 times stiffer than standard pneumatic isolators. The actuators control vibra
Feature Products Die Bonder
The Dr. Tresky T-3002 manual die bonder and component placer separates the die from the foil by pull down of the foil. The die is supported by the fixed ejector needle and remains stationary, which reduces the risk of surface damage or failure of the die. The T-3002 is capable of picking from a wafer up to 8", a waffle pack, or a tape and reel feeder using the same working platform. Additional features include dispensing of solder paste or adhesives using a syringe. It can also stamp adhesives w
Feature Products Advanced autopolish module
The Autopolish module is to be used with the Chip Unzip backside silicon thinning hardware on both devices and wafers. The Chip Unzip thins backside silicon to as little as 40 microns in order to permit the light from chip-level defects to be detected from the backside on those designs where front-side collection of the light is impossible. These designs include advanced DRAMs and LOCs, and the number of backside-only designs will grow as design rules shrink. The Autopolish module uses CMP and a
Feature Products Ultra shallow junction
The Ultra-Shallow Junction (USJ) module is a combination of ion-implant and rapid thermal processing technologies that will enable chipmakers to form the transistor junctions required for faster device designs. By leveraging the synergy between the company`s xR LEAP (Low Energy Advanced Performance) ion implant system and XEplus Centura system, the USJ module offers a production-ready process for the development and manufacture of ultra-shallow junction transistors. Ultra-shallow transistor junc
Feature Products Chemical stripper
Crystalbond 509-S, an environmentally friendly agent and chemical stripper, eliminates the necessity for using acetone and other flammable solvents. It removes polymer coatings and inorganic particulates from hard surfaces and hard-to-reach areas. It is formulated using a solvent/surfactant system characterized by a neutral pH, non-ionic chemistry that is nonreactive with the most reactive metals. The product is biodegradable, does not bioaccumulate, and is nontoxic to marine life. Aremco Produc
Feature Products Liquid partile sensor
The Liquistat particle sensor is designed for in-line monitoring of corrosive chemicals used in delivery systems or in the manufacturing process. The sensor operates by sampling 100% of the volume at 50 ml/minute with a sizing sensitivity of 0.1 ?m. The polypropylene sensor operates at 24 V, which eliminates the need for running conduit when installing the unit. There is a three channel 4 to 20 mA output connection for two channels of particle counts and one for instrument status. Data is collec
Feature Products Wafer bumping machine
The DS-1 wafer bumping machine is a "drop-on demand" metal jet system for deposition of solder balls on silicon wafers. It is able to deposit spheres with diameters ranging from 60-105 ?m. The DS-1 is a CAD-driven system, which means that patterns and other parameters can be changed virtually instantly from wafer to wafer by changing a file. The machine also eliminates the need for intermediary hard tooling, such as masks and stencils, and incorporates a robotic loader/unloader with dual cassett
Feature Products Mass spectrometry system
The OmniStar mass spectrometry system is suited for exhaust monitoring of CVD processes, process control during production, and service/maintenance of CVD tools. It monitors all key functions including purge gas flow, and ensures that the scrubber is functioning properly and removing contaminants. The OmniStar features vacuum pumps, gauges, and a Prisma gas analyzer for fully interlocked start-up/shut-down and operation. OmniStar is equipped with the Windows-based Quadstar 422 software package,
Feature Products Multivalve modular manifold system
The Mace multivalve modular manifold system stands up to aggressive CMP slurries and can be used for ultrapure DI water distribution. Mace valves are constructed of fluoropolymer HyQ PTFE, manufactured from 100% virgin PTFE. The design of the valve reduces wear and eliminates the problems of valve seat sanding. The reinforced poppet design prevents cracking or leaking. The Mace modular manifold system is designed to replace traditional assemblies of valves and is suited for high-pressure fluid d
Program Conference Program
SEMICON Southwest `98 programs and events begin Sunday, October 18, and continue through Saturday, October 24. The exposition will have more than 800 booths from more than 630 companies and will be held at the Austin Convention Center on October 20 from 10 am-6 pm, and on October 21, from 10 am- 5 pm. The technical program runs from October 18 to October 24 at various locations. All technical program fees listed in this article refer to registration after September 18.
Thermal Processing Steam-based RTP for advanced processes
Rapid thermal processing in steam-containing ambients is a new method that is strongly aligned with current and anticipated future device needs. There are numerous advantages of steam-based rapid thermal processing technology in conjunction with the fast ambient switching capability of a single-wafer rapid thermal processing system. Our discussions will include some of the key issues involved in designing integrated steam generator/rapid thermal processing systems that can offer the desired proc
Thermal Processing Educating cleanroom-facility construction managers
Partnering between industry and academia provides a unique educational opportunity. In particular, this approach has proven valuable for educating professionals involved with design and construction, organization, management, and delivery of modern advanced technology wafer fabrication facilities and related supporting infrastructure in a timely and cost-effective manner. Such a program was developed at the Del E. Webb School of Construction at Arizona State University to teach fundamentals of d
Vacuum Technology Integrated MEMS UHP pressure transducers
Integrating a piezoresistive MEMS chip with a stainless-steel membrane produces a compact, ultra-high-purity pressure transducer. The combination of the proper materials and an optimum design improves both signal stability and reliability in microelectronic processing applications.
|