Issue



Table of Contents

Solid State Technology

Year 1998
Issue 5

DEPARTMENTS

News


Planergy creates custom template for energy usage

Independent energy services company Planergy, Austin, TX, has developed a custom template for assessing energy usage patterns in working semiconductor fabs. The set of energy assessment services is designed to evaluate usage at several different levels, ranging from the operation of individual equipment to large systems and processes. They identify potential energy efficiency measures that can reportedly result in cost savings with little impact on production. The assessments, which cover a fab`


News


Electro-Graph

As part of an effort to meet cleanroom standards, subassembly and replacement parts supplier Electro-Graph has completed work on a Class 10,000 cleanroom at its Carlsbad, CA, facility. In addition, the firm conducts final packaging and sealing of parts at Class 100-certified bench stations, with employees following cleanroom gowning procedures. Electro-Graph president and CEO Dan Hacker said he believes his company is the only subassembly provider to take this step. "Inevitably, the standards in


News


Ion Systems

In its efforts to bolster contamination control research and education, Ion Systems, Berkeley, CA, has donated and installed a room ionization system in the newly opened Class 10 cleanroom at Brevard Community College in Palm Bay, FL. Designed as a world-class flat panel display material handling test facility, the Brevard cleanroom was built in conjunction with the United States Display Consortium (USDC), which plans to use the new cleanroom to provide its member firms with a test facility for


News


Motorola / Black & Veach / Ehrlich-Rominger

Motorola has selected Black & Veach and Ehrlich-Rominger to design the expansion of its MOS 12 wafer fab in Chandler, AZ. The $1.1 billion expansion will include a 260,000-ft2 Class 1 fab area; a central utility plant with mechanical, electrical, and process utilities; expansion of an industrial waste treatment facility; fit-up of the existing 90,000-ft2 shell with a Class 1 fab; and 50,000 ft2 of office space. Comprehensive pollution controls and water recycling systems will also be incorporate


News


GaSonics International / Chartered Semiconductor Manufacturing

GaSonics International, San Jose, CA, has received an order from Chartered Semiconductor Manufacturing (CSM), Woodlands, Singapore, for a performance enhancement platform 3600 system, which features two residue removal/isotropic etch process chambers. The system, which will be installed at CSM and Lucent Technologies` joint venture Silicon Manufacturing Partners, will be used to manufacture devices with 0.25-?m design rules.


News


MEGA Systems & Chemicals, Inc. / National Semiconductor

MEGA Systems & Chemicals Inc., Chandler, AZ, has shipped a total CMP system to National Semiconductor`s South Portland, ME, facility. The order includes two MEGAflow IIIC high-flow systems, which minimizes slurry hardening through continuous recirculation; a MEGApure 100, which provides submicron particle filtration and dispense; a MEGAview monitor system; and a MEGAlink process equipment interface system.


News


Lepco Inc.

Lepco Inc., Houston, TX, has been awarded the 1997 Design/Build of the Year Award for its design, construction, and service of Input/Output`s new 110,000-ft2 micro-electromechanical systems manufacturing facility. The facility contains 19,000 ft2 of Class 100-100,000 cleanroom space, which is maintained at 68? ?2?F, humidity controlled at 45% ?5%RH, and houses a complete 150-mm wafer fab. The complete group up design and construction was complete in a record 335 days. The cleanrooms are supporte


News


Cintas Cleanroom Services

Cintas Cleanroom Services, Cincinnati, OH, has opened a Class 1 laundry facility in Greenville, SC, expanding its cleanroom apparel service operations to the southeastern US. The new facility, which employs process isolation and particle management technology, will help serve Cintas` diverse customer base of semiconductor, pharmaceutical, biotechnology, and medical device manufacturers. The company specializes in apparel rental, precision laundering, and consumable and disposable products for cr


News


Metron Technology / Extraxtion Systems, Inc.

Metron Technology, Burlingame, CA, will be the exclusive sales and service organization for Franklin, MA-based Extraction Systems Inc. (ESI) in Europe. Metron will provide ESI`s airborne molecular contamination measurement and control technology to customers involved in wafer fabrication and data storage. Metron has over 300 sales and service professionals in Europe. ESI offers a total molecular base real time monitor that provides quantitative analysis of the total airborne molecular base load


News


VERTEQ / YieldUP International Corp.

VERTEQ, a supplier of wet processing systems, has entered into an OEM contract with YieldUP International Corp., Mountain View, CA, a maker of cleaning, rinsing, and drying equipment. VERTEQ will offer the YieldUP Omega series of motionless dryers and the CleanPOINT point-of-use filtration system, which removes all particles in DI water down to 0.065 ?m.


News


Brewer Science Inc.

Brewer Science Inc., Rolla, MO, will add 33,000 ft2 of space to its current facility. The expansion will include more manufacturing and office space, and a Class 10 cleanroom. The cleanroom will house R&D and new product development, and will be furnished with equipment that will enable the duplication of customer processes, such as wafer cleaning, photolithography, etch, and wafer inspection.


News


Koch Spechialty Chemical Co.

Koch Specialty Chemical Co., Wichita, KS, will construct a multichemical manufacturing and purification facility to serve the microelectronics industry. The plant will initially manufacture isopropyl alcohol, hydrofluoric acid, hydrochloric acid, and ammonium hydroxide. Eventually it will produce hydrogen peroxide and other large-volume process chemicals. Koch will produce discreet purity grades from one ppb to one ppt.


News


Microbar, Inc.

Microbar Inc., Sunnyvale, CA, a safe chemical management equipment supplier, has moved into new facilities. Microbar now occupies a 50,000-ft2 complex, which triples the size of its previous facility, and includes a 20,000-ft2 cleanroom.


News


Olin Microelectronic Materials

Olin Microelectronic Materials, Norwalk, CT, will provide chemical management services to Motorola`s AISL fab in Tempe, AZ. Olin`s on-site team will be responsible for inventory management, analytical sampling, just-in-time delivery, purity of chemicals to the point of use, and chemical waste disposal.n


News


News roundup: Asian financial woes affect 300-mm plans

The Asian financial crisis is evolving on several fronts. The advent of 300-mm technology is proceeding more slowly than had been anticipated earlier in the year, and analysts from a variety of organizations expect semiconductor capital spending plans in Japan and South Korea to come under heavy pressure. Japanese firms are expected to cut about 10% from their budgets; Korea`s chip companies are seen slashing their 1998 spending by 50-60% off 1997 levels. As a result, there is a possibility that


New Products


Filter Media

PurePerm is a new line of ULPA filter media which was developed to address the chemical contamination issues of next-generation semiconductor fabs. It is made with microfiberglass that has been specifically formulated to reduce its boron content to levels 25 to 100 times less than traditional media. PurePerm also incorporates a binder system designed to minimize organic off-gassing of known volatile contaminants like organic phosphates and amines. It is available at various efficiencies from 99.


New Products


Cleanroom actuator

The ISCR Series of cleanroom actuators are ideal for use by systems integrators, machine builders and OEMs. They include special design features that allow them to achieve a Class 10 cleanroom level. The actuators are available in three sizes, ranging from small to large. They also incorporate a series of paired holes that run along the entire length of the internal base structure and empty into a main venting chamber connected to a single standard vacuum fitting, which allows users either to dr


New Products


Cleanroom robot

The new six-axis SV3CR robot is designed specifically for use in a Class 1 cleanroom environment. It is ideal for high-speed maching loading, including the handling of disk drive media, flat panel displays (FPDs) and wafers up to 300 mm. The robot can also be used for cassette handling in interbay applications and is integrated with a vision or laser-sensing package for wafer mapping functions. Features include a 3-kg payload capacity, a reach of 677 mm, a repeatability of ?0.03 mm and reliable


New Products


Ionization bar

The new CleanTrac Ultra-Clean Ionization Bar features (non-metallic) germanium emitters that provide particle-free ionization. CleanTrac is specifically designed for use in Class 1 areas such as minienvironments and laminar flow benches where particle contamination and control of electrostatic charges are critical concerns. It comes in six lengths, ranging from one to six feet and is compatible with several controllers. A detachable seven-foot power cable, air flow gauge, air filter, air tubing


New Products


Gas diffuser

The new Chamberguard FV-50K Diffuser provides gentle laminar flow for wafers during venting of loadlock, cooling and transfer, while protecting wafers from particles inside and outside the chamber. The gas diffuser allows clean venting of chambers in as little as 10 seconds and includes a highly retentive nickel membrane that removes particles greater than 0.003 microns from incoming gas and prevents particle contamination from being introduced to the wafer chamber or onto the wafer surface. It


New Products


Cleanroom tripod

The new Rigid-Damped Tripod is designed to provide cost-effective vibration isolation of semiconductor and flat panel display manufacturing and metrology equipment in raised-floor cleanroom environments. The tripod is lightweight and easily moved to other locations as fab requirements change. Its open-frame design allows maximum airflow and space for under floor conduit, cable and pipe routing. Tool foot attachment clamps are available to prevent accidental tripod movement. The tripod is useful


New Products


Imaging Tool

The new SEM-based XL850 defect review and inspection tool is engineered specifically for evaluation, yield management and failure analysis applications supporting design rules to 0.18 microns and beyond. Featuring dual cassette robotics for Class 1 cleanrooms, it provides instant in-line access to critical semiconductor process information. The XL850 offers low voltage operation, with 3 nm resolution from 1 to 30 kV. An electron column provides balanced-field, in-lens detection of both back-scat


New Products


Microfiltration technology

Exxflow is a microfiltration technology that builds a dynamic filtration layer from the natural precipitate of solids present in the process solution on a fabric membrane. The system is well suited to treating and recycling wastewater that contains suspended particles present in wafer manufacturing processes. Systems can be installed on wafer dicing saws reclaim, backgrind, polishing, CMP and other slurry waste streams. Kinetico Inc., Newbury, OH; ph 800/633-5530, www.kinetico.com/esd.htm, e-mai


New Products


Recirculating etch bath filter

The Ulti-Etch filter provides high flow rates and rapid bath turnover in new-generation etch baths, while ensuring high-efficiency particulate removal and low extractable levels. It uses the crescent-shaped Ultipleat filter design, which combines high voids volume with increased filter area. Constructed from a hydrophilic PVDF medium, Ulti-Etch has a 0.1-?m removal rating in recirculation mode. PVDF, a naturally hydrophobic medium, is hydrophilized by chemically surface modifying the medium surf


New Products


CMP slurry filtration

Due to their tangential flow, Spiraltek filters meet the special requirements of CMP slurries. Unlike conventional filters, they retain gelatinous material and prevent premature plugging. Agglomerations are swept from the filtering surface and held inside the filter, leaving the surface free for more filtration. The filters have very high dirt-holding capacity, providing up to six times the life of standard pleated cartridge filtration. Osmonics, Minnetonka, MN; ph 800/848-1750, fax 612/933-0141


New Products


Clean bench

The Heraguard workbench ensures a particulate-free environment for the testing and packaging of electronic components. A horizontally conducted flow of extremely pure, multifiltered air prevents particles from entering the work area, through the use of a pre-filter and Hosch filter with a retaining power of 99.999% of 0.3-?m particulates. The bench is available in widths ranging from 1-1.9 meters, two interior heights, and two depths. Heraeus Instruments GmbH, Hanau, Germany; ph 49/6181-35300, f


New Products


Liquid particle counters

The PLCA-700 series liquid particle counters provide 0.1-?m sensitivity in all types of liquid with 100% counting efficiency. Operating on-line, the counters give high-sensitivity measurements from 0.1-1.0 ?m. High flow measurements cover a range of 0.2-5.0 ?m at rates to 150 ml/min. As many as six channels of data can be displayed in real time. Compact sampling units permit off-line batch measurement. Both a suction-type module and a pressurized version handle a 0.2-10-?m range, and both sampli


Editorial


Its good to be back where the action all begins

Glad to be back with you all again, after a two-year stint with Computer Design, PennWell`s magazine for design managers and senior engineers, covering the fabrication of processor-based electronic systems. It was enlightening to see the world of semiconductor manufacturing from the other side of the fence (and indeed there does appear to be quite a high fence between circuit designers and the fabrication community). Design engineers cobble together their creations - whether application-specific


Eurofocus


SEMICON Europa News

ASM Lithography (ASML), Veldhoven, the Netherlands, will create a Special Applications division. This new business unit will pursue silicon applications outside the domain of its mainstream sub-0.45-micron business. The move was announced at SEMICON Europa in Geneva, Switzerland, on March 31.


Eurofocus


Korean LG Group Semicon Wales Product upgrades facility to 0.20 micron

The Korean LG Group, Newport, Wales, recently announced plans to upgrade its working technology at its LG Semicon Wales (LGSW) facility, currently under construction, to work in a 0.20-micron technology environment. The plans for the upgraded technology have been drawn up and will position the facility as one of the first locations in the world to be working at this level.


Eurofocus


ASM International sees 4Q sales rise, but initiates small reorganization

Still adjusting to the end of its long-running patent litigation with Applied Materials, ASM International, Bilthoven, The Netherlands, has cut about 35 engineers from its Phoenix, AZ, operation. After years of litigation over epitaxial and CVD-related technologies, the two companies settled their disputes with joint cross-licensing agreements in November. The settlement left ASM with "an overabundance" of engineers who had previously been working on designing workarounds for newer models of ASM


Letters



After reading Ed Korczynski`s editorial, "A few bad apples," (March, p. 14), I must agree with you on the safety level in the US semiconductor industry. Having been a part of this industry for 18 years, I feel that the focus has dramatically improved as we have become more sensitive to our environment.


Letters


The blurring of generations

I just read your editorial, titled "The blurring generations" (February, p. 12), and enjoyed it because my memory about my generation has become blurred after 25 years. Would you please let me know where I could get a copy of the 1997 NTRS?


Letters


Address clarification

I was delighted to see my joint article with Jon Goldman ("Input parameter SPC for diffusion furnaces," February, p. 69). I met up with Jon in Scotland for the first time in five years this week just as the article was published. That was good timing. Note, however, that the URL for the web site that publicizes our results is incorrect. The page is at http://ourworld.compuserve.com/homepages/stephen_munley/.


Product News


Globalization to be reality at SEMATECH

Five non-US chipmakers will join the 10 American members of SEMATECH to form a new international consortium for development of lithography infrastructure; industry standards; environmental, safety, and health technology; and manufacturing methods. The new group, known as International SEMATECH, was scheduled for startup on April 2 and will be an independent subsidiary of SEMATECH. The existing International 300-mm Initiative will become a division of International SEMATECH.


Product News


FPD/300-mm interstep cleaning

The Microclean 960 series tools clean large substrates, including flat panel displays up to 1000 ? 1000 mm, and 300-mm wafers. The fully automatic tools are available in both ultrasonic and megasonic configurations. Process baths can be fabricated in quartz, PFA, ECTFE, PVDF, or 316 stainless steel. High-temperature piezo bonding technology provides continuous processing temperatures to 120?C. The megasonic system deploys a higher operating frequency, translating into oscillation of the liquid c


Product News


FPD stepper

Model 6700 PanelPrinter provides high-performance, wide-area lithography capability for Gen 3 and Gen 3.5 flat panel production. Using the field-proven Model 5200 tool architecture and the new AccuStep x-y stage motions, the 6700 meets a wide range of high-performance production lithography goals. The system is particularly suited to FED and low-temperature polysilicon display production applications. When equipped with an i-line lens, the 6700 is also suitable for patterning photo-definable die


Product News


Microwave curing

The MicroCure 5100 is a variable-frequency microwave system that cures standard polymeric adhesives and encapsulants. Proprietary variable-frequency technology greatly improves cure rates over forced convection systems, with a smaller footprint and with energy savings. Uniform energy distribution eliminates hot spots, while selective frequency targeting reduces stress due to thermal mismatch, resulting in improved interconnect reliability and increased yields. Applications include cavity dam and


Product News


Multihead dispensing

XYFLEX is a flexible liquid-dispensing system that dispenses up to 140,000 dots/hr and can therefore keep pace with chip shooters that place components at rates of 40,000-90,000 pph. The system, consisting of four heads and up to eight pumps, can dispense solder paste, adhesives, conductive epoxies, encapsulants, and flip chip underfill - at the same time and at different programmable speeds. It can be installed as an in-line system with the ability to meet the throughput requirements of high-vo


Product News


Flip chip bonder

Model CB-5w semiautomated flip chip bonder is a granite-based tool designed to perform high-accuracy, low-force flip chip bonding (5 g to 2 kg) in both prototype and pre-production modes. The system handles chips as small as 20 ?m in size and can place various die from different types of die carriers onto substrates up to 10 ? 10 in. The system incorporates user-friendly pictorial software; it consists of this company`s patented optical probe, with high-resolution for precise alignment and bondi


Product News


Multipurpose bond tester

Series 4000 multipurpose bond testers feature ergonomic design and frictionless load cartridge technology, providing accurate and reliable wire pull testing up to 10 Kg, tweezer pull/peel testing up to 5 Kg, and ball and die shear testing at 250 g, 5 Kg, and 100 Kg. The tester`s monocoque frame design gives rigidity in the vertical axis of better than 1 ?m/kgf. The machines are available with a range of work surfaces, including shuffle base, manual x with y Chessman, and motorized x and y. Each


Product News


Vacuum/controlled atmosphere processing

Mark-14, a heavy-duty vacuum/controlled atmosphere processing facility for high-purity and closely controlled R&D and production applications, can perform more than 14 materials processing functions without duplicating major system components. Capabilities include: vacuum to 10-7 torr; pressure to 10 bar; furnace temperatures from ambient to 900?C and to 3000?C with furnace working volumes up to 3 cu ft; gas quenching to 10 bar; vacuum to liquid quenching; diffusion bonding; coating/deposition


Product News


Aluminum UHV flanges

The Atlas Flange is made from 6061 T-6 aluminum and 316L stainless steel; it has been developed to allow sealing of aluminum UHV systems with stainless steel knife-edge flanges. The aluminum side welds to the chamber and the stainless side has the knife-edge seal. The flange is qualified to 10-10 torr and can be repeatedly baked to 250?C. Compatible with standard CF flanges, the Atlas is available in sizes from 1.33 to 16.5 in. Atlas Technologies, Port Townsend, WA; ph 360/385-3123, fax 360/379-


Product News


Vacuum process cold traps

The CT-Series cold traps provide solutions for pumping condensables such as the products of TEOS deposition. The systems are available with -60 or -110?C minimum temperatures, and cooling powers of 62 W at -45?C and 60 W at-90?C, respectively. Capacity is 1.5 kg of ice. Four trap systems are available, varying in automation and regeneration downtime. Automated units are programmable for regeneration frequency and interface with system controls for process and alarm interactions. ATRInc., Laurel,


Product News


KrF excimer laser

The ELS-5010 KrF excimer laser is a light source targeted at the scanner platform for DUV lithography systems. Key features of the system - which improves upon its predecessor, the ELS-5000 - are spectral purity bandwidth for greater resolution capability, and energy stability for critical dimension control. Cymer Inc., San Diego, CA; ph 619/451-7149, fax 619/618-3035.


Product News


High-resolution ICP-MS

The VG Axiom is a compact, high-power, high-resolution ICP-MS system that provides the analyst with the power to resolve spectral interference from potential contaminants in fab processes; it is available in two configurations. Axiom SC (single collector) provides fast, unambiguous, high-resolution elemental analysis. Very high ion transmission at all resolutions (>4%at 10,000 RP) coupled with background count rates of <0.2 counts/sec ensures unparalleled limits of detection in all matrices on


Product News


Multifilm deposition controller

The TM-350 and TM-400 thin-film deposition monitors are designed for reliability and ease of use, and provide all the capabilities necessary for manual control of the deposition process. TM-350 is a multifilm monitor that is suitable for all but the most demanding applications. TM-400 has all the features of the 350, but with 16 times better measurement resolution and dual sensor head capability, making it suitable for critical applications that require greater accuracy and the added security of


Product News


Scan controller

The CX-2R ion implanter beam scan controller upgrade compensates beam motion to improve nonuniformity for each of two end stations. It reduces channeling up to 5?, eliminates micro nonuniformity of 3% at 400-?m scale, and cuts time to 5 sec. Features include a double image compensator and auto switch. The CX-2R upgrades the Varian 300XP to 0.3 ?m and also fits the 350D. Fab-m Corp., SanFrancisco, CA; ph 415/567-8504, [email protected].


Product News


F-HDP/PECVD process

This high-volume production implementation of a CVD low-k fluorinated high-density plasma (F-HDP) film for 0.25-?m logic devices is offered for use on the existing customer base of SPEED HDP systems. The integration sequence combines an F-HDP gapfill process with an in situ PECVD capping layer, reducing cost of ownership by as much as 50%. A typical 2-?m IMD stack using F-HDP and PECVD can be deposited in the integrated SPEED system at throughputs of >30 wafers/hour. Novellus Systems Inc., San J


Product News


Wafer/mask microscope

The INM 100 modular microscope, for wafers and masks, features fingertip operation, an 8-in. stage, a tilting viewing head, a motorized objective nosepiece, an aperture diaphragm, and Class 1 compatibility. Its HCS optical system produces the highest resolution and contrast images in all illumination modes, with improved TV imaging for digital recording applications. A retrofitable confocal module with improved brightness and contrast is also available. The INM 100 features a high-precision, mot


Product News


Surface profiler

The WYKO SP3000 surface profiler expedites process control of large-format samples with accurate, noncontact 3-D data. Designed to enhance yield and improve in-line process monitoring of flip-chip packaging, the gauge-capable profiler enables users to control critical dimensions and determine the electrical/mechanical performance and reliability of advanced packaging substrates. Combining vertical scanning interference microscopy with proven, extensive analysis software, the system measures surf


Product News


300-mm wafer inspection

Constellation is a fully automated inspection tool for both 200- and 300-mm wafers. Its inspection station employs advanced optical scanning hardware and sophisticated data analysis software to analyze and characterize multiple classes of surface defects on both polished and epi wafers. Wafers are handled and inspected in the horizontal orientation, using a high-speed, multiple-axis robot to transfer wafers from their cassettes to the inspection gauge and back. Constellation`s modular design all


Product News


300-mm wafer shipper

Voyager is a reusable 300-mm wafer shipper that has compact dimensions (7 ? 13.1 ? 12.7 in.) and features a cushion design consisting of top and bottom covers to hold the wafers securely during shipping, with no need for tape. Each reuseable shipper includes secondary packaging and has a kinematic coupling for equipment interface. The shipper body is made of transparent polycarbonate material, allowing visual inspection of wafers inside the carrier; the covers are made of a durable PBT material


Product News


Wafer inspection after dicing

The KIS2000 inspects wafers after dicing to monitor the dicing machines using statistical process control (SPC). The tool automatically scans the front and back sides of the wafer and monitors kerf (cut) chipping sizes, kerf width, misalignment, and kerf offset, as well as chipping proximity to the die edge. Chipping is automatically differentiated from other shapes, making the kerf inspection accurate and reliable. A powerful SPC package provides wafer maps and charts for visualization of resul


Product News


Vacuum abatement system

The VAS combines a vacuum system and waste gas incineration system with integrated scrubber, a neutralization and washing cycle, and a monitoring and control unit (compatible with any vacuum pump model). It abates Cl2/BCl3 and CF4/SF6 effluent from a metal dry etch process at efficiencies of >99% and 98%, respectively. Using DAS ESCAPE NET software, multiple VAS can be networked for centralized administration/control. DAS GmbH, Dresden, Germany; ph 49/351-871-8688, fax 49/351-871-8726, e-mail in


Product News


Residual gas analyzer

The HPQ2 is a high-pressure residual gas analyzer (RGA) that does not require a support pumping package and offers a high level of performance and control. It is provided with Process Eye software, an advanced Windows-based PC package dedicated to process monitoring, vacuum maintenance, and RGA applications such as photoresist detection. Spectra International, Morgan Hill, CA; ph 408/778-6060, fax 408/776-8575.


Product News


Lithography simulation software

Version 6 of PROLITH/3D and PROLITH 2 includes new lithography models, such as the step-and-scan exposure tool model, the notch resist development model, and a chemically amplified resist model. The user interface has been rewritten as a native Windows product on the PC platform (PROLITH is also available on the Sun Workstation and the DEC Alpha). Version 6 also features OptiPRO technology, which increases the problem-solving speed of the software by taking advantage of the capabilities of each


Literature


Literature Update

Adding another piece to its inspection and metrology framework, KLA-Tencor will acquire scanning electron microscope manufacturer Amray Inc., Bedford, MA, in a stock swap deal. KLA-Tencor sees the acquisition as a fast-track way of bringing additional defect review and classification capabilities to its stable, said senior VP of strategic business development Sam Harrell. "After you collect defect data on an optical system, some of the defects can be reviewed optically, and some require a SEM. T


People


People Update

ShellCase Ltd., Jerusalem, Israel, has named Shlomo Oren managing director and CEO. He has 25 years of management experience in the US and Israel, including 17 years with Kulicke & Soffa Industries Inc. as corporate VP and managing director of its Israeli facility.


People


1998 SPIE Lithography Symposium

The recent SPIE International Symposium on Microlithography showed the maturation of the lithography field. The presentations had a more practical view than in previous years, with even the "Emerging Lithographic Technologies" conference focusing on progress toward implementing actual systems. Even though the focus of the conference was nominally on the future, it proved that, in the semiconductor industry, the future is now.


Asiafocus


Koreas DRAM Inc. meets reality

At the beginning of July 1997, the South Korean won stood at the then low of 888 to the US dollar. By mid-December the won stood at 1710 won to the dollar: a spectacular fall of 52 percent in less than six months. The repercussions of this collapse are enormous. Not only has the world`s 11th largest economy been brought to its knees, but the world`s lender of last resort, the IMF, is undertaking its biggest rescue ever with a $57 billion effort. Korea`s high-flying semiconductor companies - Hyun


Asiafocus


ERSO re-invented for .18 micron

Taiwan`s Electronics Research & Service Organization (ERSO) within the Industrial Technology Research Institute (ITRI) has a new general director with Dr. Genda J. Hu. Formerly VP of Information Storage Devices, San Jose, CA, Hu takes charge of ERSO as the organization sets off with a new charter for the development of 0.18-micron processes.


Asiafocus


Fire at Winbond fab in Taiwan will cause unknown startup delay

Work on Winbond Electronics Corp.`s Fab 3 in Hsinchu, Taiwan, has been disrupted by a fire that caused significant damage to the fab building and some process equipment. Taiwanese newspaper accounts estimated damage at up to NT$1.2 billion (US$43.63 million), but Winbond officials in the US could not confirm this.


Market Watch


The inevitable shakeout in the CMP equipment market

As more and more manufacturers discover the benefits of chemical mechanical polishing (CMP) for smaller and smaller design rules, an increasing number of CMP equipment suppliers are entering the field. As these new companies compete with early, more entrenched entrants, a shakeout is looming ahead.


World News


Worldwide highlights

January equipment book-to-bill softens. January market indicators for the capital equipment and IC markets are showing definite softness, reflecting difficult market conditions sparked by Asian financial problems and continued DRAM price declines. SEMI`s North American capital equipment bookings and sales for January were $1.382 billion, down 10% from December`s $1.531 billion, but up 24% from a year ago. Shipments were $1.465 billion, down 5% from December`s $1.536 billion and up 42% from last


World News


USA

ATMI Inc., Danbury, CT, is planning to acquire NOW Technologies, a Bloomington, MN, semiconductor materials packaging systems supplier, in a deal valued at $44 million. Under the agreement, NOW will become a subsidiary of ATMI once shareholder and regulatory approval is received. A maximum of 1.86 million shares and a minimum of 1.35 million shares of ATMI will be issued to NOW shareholders. NOW`s primary products are based on its patented NOWPak systems, used for packaging advanced photoresist


World News


Japan

Mitsubishi Materials Silicon Corp. has entered into discussions of a possible licensing agreement related to exclusive Japanese and Asian rights for Ibis Technology Corp.`s Advantox SIMOX wafer production process. The two firms have collaborated on sales and development of the SOI technology, and Mitsubishi recently signed a letter of intent to purchase an Ibis 1000 oxygen implanter. The Japanese firm is also planning an expansion of its Asian supply lines, with MSA set to cooperate on market de


World News


Asia/Pacific

Taiwan Semiconductor Manufacturing Co. is reportedly scheduled to break ground on its first 300-mm wafer fab in 2Q98, following in line with plans the company announced last year to build a manufacturing center in the Tainan Science-based Industrial Park. The 300-mm fab will cost more than $2 billion, according to reports by Taiwan`s Central News Agency. The company has already begun construction on its sixth wafer fab; its fourth and fifth fabs came online last year.


World News


Europe

CVD system supplier AIXTRON AG, Aachen, Germany, has logged an order for its first multiwafer MOCVD system to the Research Centre Julich. Delivery is scheduled for 2H98. The barium strontium titanate AIX 2600G3 system will be used in the production of advanced DRAM memory test structures.


World News


Rest of World

In a deal valued at $225 million, Mitel Corp., Kanata, Ontario, Canada, has acquired all shares of Plessey Semiconductors Ltd., Swindon, UK, from parent company General Electric Co. The combined unit is expected to rank Mitel among the top ten networking and telecommunications chip companies; the deal gives Mitel additional design capabilities and manufacturing capacity, as well as additional expertise in RF and mixed signal design and system level integration. Plessey currently has annual sales


Tech News


193-nm development hampered by contamination

As the world lithography research community congregated at the SPIE Microlithography Symposium in Santa Clara, CA, the aggressive drive to commercialize 193-nm technology has reached some new milestones, but also turned up some thorny problems that could affect plans to bring it into manufacturing in 2001.


Tech News


CMP-MIC: 3Ms no-slurry pads, Lams polisher

The CMP-MIC conference held in Santa Clara, CA, saw the introduction of 3M Laboratories` new slurry-free oxide planarization technique, and the long-awaited linear CMP polisher from Lam Research`s OnTrak subsidiary.


Tech News


Significant role seen for selective epi and Si3N4 CMP

Selective epitaxial silicon-germanium deposition and Si3N4 CMP could be significant processes for 4-Gbit DRAM technology. These processes were used at NEC`s ULSI Device Development Lab in Kanagawa, Japan, to fabricate a contact pad structure characterized by large 70-nm (0.07-?m) alignment tolerances for both DRAM word line and isolation regions. The final structure is a 135-nm2 folded-bit-line memory cell in one of NEC`s 4-Gbit DRAM designs, using a 120-nm design rule (see figure).


Tech News


Value added from backend drives assembly automation

Today`s semiconductor manufacturing backend is a linear extrapolation of technology in place for 25 years. C. Scott Kulicke, chairman and CEO of Kulicke & Soffa Industries, stated that it has been "predictable progress. We`ve worked hard because, obviously, it is more complex to build a quad flat pack than a dual in-line package. But, while today`s factories may be bigger and more efficient, most still run the same old way - discrete lots of a few hundred or a few thousand units carried from sta


Tech News


Laser system personalizes 0.35-um gate array

A newly upgraded laser micromachining system provides rapid personalization of 0.35-?m gate array devices, with improvements of throughput and accuracy.


Tech News


Two SEMI groups discuss 9-in. reticle carriers

As the maskmaking industry works to implement standards for the transition to 9-in. reticles, two SEMI task forces charged with designing carriers for the larger glass substrates have taken divergent paths on their box designs. The North American group is placing minienvironments at the core of its design, while the Japanese group is opting for optimized containers for specific functions. As a result, four very different carriers are now being proposed for adoption. "We`re working under differen


FEATURES

Feature Products


Laser-imaging patterned wafer inspection

The ILM-2230 laser-imaging darkfield patterned wafer inspection system combines oblique angle darkfield illumination with small-pixel, high data rate image processing. This combination provides superior capture rate of a wide range of yield-critical defect types on =0.25-?m devices, at high throughput and with a low nuisance defect rate. The system is optimized for advanced interconnect process-inspection applications, such as CMP. The CMP process introduces many new defect types and noise sourc


Feature Products


Modular bond cluster system

The SUSS ABS150 automated cluster system cleans, aligns, and bonds wafers and substrates. The CL200 cleaner module cleans and bonds silicon on insulator (SOI), as well as other silicon fusion bondings, and includes a facility for guiding the SF-pre-bond. Wafers up to 200 mm in diameter are bonded with a medium alignment accuracy, and the CL200 can also be equipped to clamp the cleaned wafer onto the transport fixture. This device is used to move two aligned wafers (up to 150 mm) from one module


Feature Products


Process monitoring/device characterization

KTE Version 3.2 software is used with parametric test systems such as the S400UX and the S600 APT, providing features that include Smart Sampling, user access points, and automatic configuration functions. This speeds wafer processing, increases the value of test data, and reduces programming overhead. An easy-to-use graphical interface controls all measurement instruments, matrix switching systems, and probe stations. The Smart Sampling feature allows users to create test sequences in which eac


Feature Products


300-mm wafter carrier technology

CAPSil M325 is a SEMI-compliant, 25-capacity front opening unified pod (FOUP) that addresses such issues as 0.13-?m linewidths and copper processing. It has pistol-grip handles that are adjustable from 0 to 20? (horizontal), giving the user a choice of position. Manual handling can be eliminated in less than 60 sec by removal of the handles (without need of tools). The M325 offers manual door opening and closing; the door can also be removed easily. Bar code, IR, or RF tag tracking solutions are


Feature Products


Comprehensive downstream effluent management

Inappropriate handling of downstream effluents in semiconductor manufacturing processes can lead to chip yield loss and excessive equipment downtime. Serious safety issues also result from hazadous effluents trapped as solid depositions in vacuum pipelines. Developed solutions for processes include silicon nitride LPCVD and PECVD, tungsten CVD, aluminum etching, and TEOS/ozone CVD of silicon dioxide.


Industry Insights


The best, the brightest, or the cheapest

For many years, US companies have been investing and setting up production plants overseas to take advantage of the cheaper and more abundant labor work force in less developed countries. As many companies increase domestic investment, some high-tech companies claim that a lack of skilled professionals in the US is forcing them to hire foreign workers. Proponents for increased employment of these foreign professionals are urging the White House to raise the quota for the number of highly trained


Deposition


Anhydrous HF etch reduces processing steps for DRAM capacitors

A new anhydrous hydrogen fluoride (AHF) vapor-phase etch process, at the heart of a commercial single-wafer system, dramatically reduces the number of process steps for fabrication of complex three-dimensional device structures, including cylindrical capacitors for 256-Mbit and 1-Gbit DRAMs. Controlling process temperature, HF concentration, and vapor flow, the process enhances natural etch-selectivity differences of doped and undoped oxides. These natural differences stem from adsorbed water co


Deposition


Understanding hydrogen silsesquioxane-based dielectric film processing

Hydrogen silsesquioxane (HSQ) resin has demonstrated unique performance as a precursor for the formation of interlayer dielectrics (ILDs) used in manufacturing ICs with multilevel metallization schemes. Commercially available HSQ-based films routinely provide dielectric constants lower than PECVD silicon dioxide films in submicron devices, with high degrees of planarization. Understanding HSQ film properties is key to successful integration of this material into current and future wafer processi


Deposition


Carbon dioxide meets the challenge of precision cleaning

Manufacturers of IC components and disk drives are evaluating advances in carbon dioxide (CO2) cleaning.


Deposition


Application of FTIR for monitoring cleanroom air and process emissions

The semiconductor industry is pursuing numerousemission reduction strategies to comply with the 1990 Clean Air Act amendments and Occupational Safetyand Health Administration (OSHA) standards. Feasibility testing and development of these strategies will require test methods that provide information on the composition of emission sources. Numerous technical protocols guide the use of Fourier transform infrared (FTIR) spectroscopy to generate verifiable data on perfluorocompounds (PFCs), hazardous


Vacuum Technology


Next-generation aluminum vacuum systems

The semiconductor fabrication equipment industry is aiming to produce equipment that can process devices with 180-nm features on 300-mm wafers. As feature sizes shrink, molecular impurities incorporated into the devices during processing will increasingly limit device performance. Both the process materials and the vacuum environment contribute to these molecular impurities. The fabrication equipment community will need to improve the purity of the process materials and lower the base pressure o