Issue



Table of Contents

Solid State Technology

Year 1998
Issue 3

FEATURES

Industry Insights


New models to increase capital effectiveness

With $2 billion fabs just over the horizon, it`s time we took a closer look at our capital effectiveness. Can we afford expenditures on this scale? The new markets that we hope to create certainly cannot afford it.


Showreport


SemiconEuropa

SEMICON Europa is an international exposition and conference for semiconductor equipment, materials, and services. Sponsored by SEMI, the 23rd annual SEMICON Europa offers exhibits, technical conferences, business and marketing programs, international standards meetings, and networking opportunities.


Productivity


Can overall factory effectiveness prolong Moores law

Gordon Moore of Intel first articulated the law that has governed the success of the semiconductor industry since its inception: chip performance doubles every 18 months at no additional cost to customers. SEMATECH expects shrinking feature sizes to provide significant productivity gains in the future, but larger wafer sizes and improved yields will make a smaller contribution than they have in the past. Gains in overall equipment effectiveness (OEE), while important and on-going, are insufficie


Productivity


Mask Aligners in advanced packaging

New packaging technologies, developed to support the increasing functionality and performance of today`s and future IC generations, increasingly use photolithography for the fabrication of high-density interconnect layers and tape automated bonding (TAB) or solder bumps. Screen printing and physical vapor deposition into a metal mask are running into resolution and reliability limits where the highest performance is needed. We have found that photolithography by proximity printing with a mask al


Asia


Sia Update

This is the third and final installment of Solid State Technology`s review of the Semiconductor Industry Association`s (SIA) 1997 National Technology Roadmap for Semiconductors (NTRS). Chief Technical Editor Katherine Derbyshire authors the first article on front-end processes. The other articles are written by the Roadmap`s working group co-chairs for Design and Test, Defect Reduction, and Packaging and Assembly.


Asia


reducing defects to manage Yield

Charlie Gross, Digital Semiconductor Hudson, Massachusetts David Jensen, Advanced Micro Devices, Austin, Texas


Asia


Assembly and Packaging Challenges

In the development of the 1997 edition of the NTRS, the Roadmap Coordinating Group recognized the dramatic change in the Overall Roadmap Technology Characteristics since the 1994 edition. The needs for assembly and packaging technologies are derived from these overall technology characteristics. Table 1 illustrates assembly and packaging needs for hand-held (<$1000 battery powered electronic products) and cost performance (<$3000 notebook, desktop personal computer, telecommunication) market seg


Asia


Design and test: Getting a handle in productivity

Since the 1994 Roadmap, there has been a further realization of how fast the gap between what we can design and what we can build is growing.


Materials


Processing and integration of copper interconnects

Robert L. Jackson, Eliot Broadbent, Theodore Cacouris, Alain Harrus, Maximillian Biberger, Evan Patton, Tom Walsh, Novellus Systems, San Jose, California


Materials


Focused Ion beam mask repair

In the late 1980s, the move to reduction lithography - instead of 1? lithography - gave mask equipment and process developers a vacation [1]. Now that the linewidths on advanced photomasks are reaching and surpassing those used with 1? lithography, the vacation is definitely over. In addition, maskmakers must contend with phase shift techniques, optical proximity corrections (OPC) and shorter exposure wavelengths. While mask design, writing, and inspection have all become more complex, no task h


DEPARTMENTS

Editorial


A few bad apples

Last SEMICON West, I talked with a mainstream TV reporter in the press room. This intrepid newshound had heard that dangerous chemicals were used in the manufacture of semiconductors, and wanted to know whether safety and the environment were some of the big stories of the show. I stated that such issues were resolved many years ago, and that the industry as it stands in the US is extremely safe and conscientious.


Eurofocus


Siemens and Motorola in German joint venture

Cadence Design Systems Inc., a supplier of semiconductor design technology and services, is to establish its next-generation system-on-chip design facility in Livingston, Scotland, creating as many as 1895 jobs over the next seven years. The investment was secured by Locate in Scotland, Scottish Enterprise`s inward-investment joint venture with the British government`s Scottish Office.


Eurofocus


Cadence invests in Scotland

Cadence Design Systems Inc., a supplier of semiconductor design technology and services, is to establish its next-generation system-on-chip design facility in Livingston, Scotland, creating as many as 1895 jobs over the next seven years. The investment was secured by Locate in Scotland, Scottish Enterprise`s inward-investment joint venture with the British government`s Scottish Office.


Eurofocus


MEDEAs lithography project

Work is progressing on post-193-nm, ion-projection lithography (IPL) under Europe`s MEDEA project. The governments of Austria, Germany, and The Netherlands - and several companies - are taking equal funding positions in the $36 million, four-year IPL project, which began in April.


Eurofocus


LETI and Leica develop lithography process

The LETI/GRESSI R&D lab in Grenoble, France, is working in conjunction with Leica Lithography Systems to develop a hybrid lithography technique that will combine the speed of a DUV stepper with the resolution of an e-beam writing tool on the same device level. The partners have signed a two-year joint agreement for the development, which will focus on resolving overlay issues between the two exposure tools. The smallest features of the device (below 0.18 micron) will be written using the e-beam


Services


Semiconductor precursors market study

This volume titled Semiconductor Building Block Materials: Growing Markets for Material Precursors (GB-206) analyzes the worldwide materials and devices market. The study points out that the principal single-crystal-wafer material precursor polycrystalline silicon dominates total sales in the available market, and was valued at $430 million in 1996. It is forecast to grow to $655 million in 2002, at an average annual growth rate (AAGR) of 7.3%. Compound semiconductor substrate precursors include


Services


Filter selection software

This CD-ROM software package provides information on filter selection. inPHorm software walks users through product selections, performs math calculations, compares costs, generates complete drawings, and prints custom selection summaries. It also allows users to access a filtration reference library and request drawings and quotes. Parker Hannifin Corp., Process Filtration Division, Lebanon, IN; ph 800/272-7537 or 888/238-5356, www.parker.com.


Services


Optical lithography simulation guide

This 175-page book titled Inside PROLITH: A Comprehensive Guide to Optical Lithography Simulation by Chris A. Mack provides a description of the physical models that are found in a group of lithography simulation products, PROLITH/2, PROLITH/3D, and PROXLITH/2, including derivations of most of the mathematical equations and physical descriptions of their basis. Chapter topics include introduction to lithography modeling; aerial image formation; standing waves; diffraction for contact and proximi


Services


Materials constitution volume

This 8500-page introductory compilation of the annual "Red Book" series produced by Materials Science International Services and the Russian information service VINITI extracts data, phase diagrams, and text on materials constitution from world literature. Covering the years from 1990-1995, the volume contains information from all foreign language publications translated into English, and uniformly structured, detailed summaries of binary, ternary, etc., multicomponent systems. The 5300 summarie


Services


Failure analysis reference guide

This multimedia, stand-alone failure analysis (FA) reference system provides chipmakers with new training methods and knowledge preservation for problem solving during semiconductor design, production, packaging, and field use. The FA Wizard software product integrates with major equipment categories and techniques used in FA labs, and is composed of three modules: a hierarchical, hypertext-linked process flowchart using standard flowcharting techniques that can be examined at either the graphic


Services


IC training course

This 45-min video training course titled Making the Microchip: At the Limits II describes the IC manufacturing process. The program is a revision of At the Limits, a video used by universities, IC manufacturers, and suppliers as an introduction to wafer fabrication, and is based on the text Microchip Fabrication by Peter Van Zant. Topics covered include support technologies, maskmaking, and contamination control; IC manufacturing described as a series of layering, patterning, and doping processe


Services


Semiconductor surface preparation book

This 545-page volume titled Science and Technology of Semiconductor Surface Preparation documents symposium proceedings from the 1997 MRS Spring Meeting in San Francisco, CA. Its focus is to bring researchers and manufacturing and development engineers together to report new findings and to discuss surface preparation with an emphasis on gaining a mechanistic understanding of the underlying science on which the technology is based. Topics include megasonic cleaning; SC1 technology; surface prepa


Services


Pure water handbook

This detailed handbook explores the overall problems of water purity, identifies common impurities, and discusses methods of water purification. A revised edition of the 1991 original, it includes information on new technologies and research, and is designed as a reference guide for those desiring a broad understanding of pure water technologies and applications. Price: $9.95. Osmonics, Minnetonka, MN; ph 800/848-1750, fax 612/933-0141, www.osmonics.com.


Literature


Literature Update

SGS-THOMSON Microelectronics, Paris, France, have agreed to establish a joint design center company in Seoul. The center will be used to develop ICs for existing consumer electronics applications and future products for digital and high definition TV, digital VCR and DVD, telecommunications, computers, and other products. The center will be initially staffed by 20 people, and when fully operational, will have 60 design engineers. The design center company will be equally owned by Daewoo and SGS-


Euro Products


I - line step-and-scan

The PAS 5500/400 is an i-line step-and-scan system that can process ninety-six 200-mm wafers/hour. It is designed to work in conjunction with the PAS 5500/500 deep-UV step-and-scan system, providing a comprehensive mix-and-match solution for 0.22- to 0.18-?m lithography processes. Specified for device resolutions down to 0.28 ?m, the 400 is a cost-effective production solution for resolving less critical layers. It features a STARLITH 400 i-line lens (NA 0.65) and an AERIAL illuminator from Carl


Euro Products


Cathode for FPD production

The Move Mag cathode, with a moving magnet arrangement behind the target, doubles the previous target utilization values for the ARISTO in-line sputter system, allowing low-particle, high-volume production of large-area panels (550 ? 1350 mm to 830 ? 1650 mm) for high-performance display applications. When used with other FPD applications such as PDP with 2 to 3-?m-thick Cu films, Move Mag is capable of high-rate sputtering at power densities up to 15 W/cm2. The pattern of the magnet can easily


Euro Products


Spin etchers

The "Spin Etcher Front Master" is used for versatile frontside applications such as post-CMP cleaning, oxide removal/thinning, structuring (oxide, silicon, aluminum), polysilicon etch, polymer removal, and wafer reclaim. The "Spin Etcher for 300-mm Processing" is a wet processing tool for the next generation of wafers, which has undergone a marathon test at I300I. Both machines offer flexibility in various processes (back- and frontside applications, back- and frontside mixed-mode used for wafer


Euro Products


Metrology software

Version 4.0 of WAFERMAP software allows import of data files from metrology tools such as ellipsometers and four-point probes. The data can be visualized by contour plots, 3D plots, line scans, and histograms. Features include rotation, shift, and mirroring of the grid. For simulation of wafer rotation in deposition or RTP equipment, it is possible to average radial zones. Manuela Boin Scientific Software, Tomerdingen, Germany; ph 49/7348-928233, fax 49/7348-928234, e-mail manuela.boin@t-online.


Euro Products


In-line digital display

The Model LD330 is a digital display that has a low-power, 3.5 digit (0.3 in. H) analog-to-digital converter with an LCD display. Its footprint is 1.5 ? 1.5 ? 1.65 in. (W, D, H), and its integral bayonet connectors allow in-line installation between the pressure transducer and the user`s data acquisition system or power supply. The LD330 is also available with a pigtail connection for termination. It accepts a voltage or 4-20 mA current input signal. Setra Systems Inc., Boxborough, MA; ph 800/25


Euro Products


Double-head diebonder

The PPS 2300 series double-head diebonder is customized for such applications as leadframe, MCM, and BGA. Each module is equipped with two bonding heads, either with a dispensing, stamping, or pick-and-place tool. This is also valid for a two-module machine. A possible equipment configuration, therefore, is: epoxy - pick-and-place - epoxy - pick-and-place. With a working range of 3 ? 3 in., the tool is multichip-compatible and has high throughput (up to 8000 parts/hour with a two-module machine)


Euro Products


SOI 300-mm wafer

SOI (silicon-on-insulator) wafers are a strategic step in silicon wafer engineering for advanced ULSI applications, giving better performance for low-power and low-voltage applications, as well as an easier system-on-chip approach. The UNIBOND SOI 300-mm wafer, manufactured in conjunction with Shin Etsu Handotai, is processed using Smart Cut technology, a wafer bonding technique that uses hydrogen implantation to induce low-temperature splitting along the implanted region. This allows the format


Euro Products


Dry pumps

The iL70 drypump, targeted at clean processes, is designed for loadlock, transfer chamber, PVD, SEM, and similar applications. The iH1000 heavy-duty oil-free pump provides high-speed pumping for difficult processes such as etch and CVD in silicon wafer and FPD manufacture. BOC Edwards, Crawley, UK; ph 44/1293-528844, e-mail [email protected].


Euro Products


Poly-etch system

The TCP 9400PTX etch system is optimized for such applications as advanced poly/polycide gate etch and shallow trench isolation for 0.18-?m technology. It is configured for the Alliance platform, which maximizes productivity while maintaining a small footprint, and handles up to four process modules. An advanced pressure control valve (pendulum valve) provides accurate pressure control, low particles, higher mean time between cleans, and shorter clean time. Removable chamber and manifold liners


Euro Products


Peltier cooled assemblies

This new series of 2508-T8 assemblies includes a bead-type thermistor (2.2 kOhm) and a thermoelectric cooler (MC-series) mounted on a TO-8 (TO-5) header. At an active heat load of 0.1 Wt, it provides a cooling object temperature of -30?C. The cooler can be adjusted to customer specifications. The series is suited to semiconductor detectors, CCDs, diode lasers, and other optoelectronic components. For the design of x-ray detectors, the series has a cap containing a 13- or 25-?m-thick beryllium wi


Product News


Backside thinning system

Chip UnZip, the system that thins backside silicon in flip chips and other face-down designs to permit emission imaging of defects, has been enhanced so that the speed with which the die is thinned has increased by more than 100%. The system removes epoxy or ceramic packaging and backside silicon in a window precisely defined to give access to the active area of the die, while leaving the leads intact. When the thinned chip is biased, defects emit light through the thinned silicon. Hypervision I


Product News


Vacuum gate valves

Throttlemaster vacuum gate valves position the gate full open, full closed, or anywhere between, to an accuracy of ?0.002 in. (0.05 mm). The 1/4 DIN control module provides front panel manual control of gate position for process development and accepts 0-10 V or 4-20 mA signals from the PLC or controller for process control. Also included are contact closures on the rear panel for open and closed position indication. Valves are available in aluminum or stainless steel with ANSI flanges from 2-8


Product News


Compact process ion gauge

The IMR 260 process ion gauge, designed for vacuum deposition processes, combines hot cathode ionization and Pirani gauges in one housing, with an expand measuring range to 10-6 - 1000 mbar. The Pirani gauge signals the on-board electronics, which act as the controller for the hot filament, sending it a signal when to turn on and off, virtually eliminating burnout. The gauge has good reproducibility of ?2% from 10-5 to 0.1 mbar, covering the whole range of sputtering and reactive evaporation pro


Product News


Wide range manometer

The ATMION system measures pressures from atmosphere to 10-9 mbar with a single gauge by automatic switching between Pirani and Bayard-Alpert principles. The gauge is inserted in a protective adaptor (different KF or CF flanges available) with a grid toward the vacuum. This increases the accuracy and reproducibility of pressure readout and allows operation of the gauge during sputtering and plasma processes. The power supply/controller is directly positioned at the gauge head and is provided wit


Product News


Vacuum preloaded air bearings

Because these vacuum preloaded air bearings hold themselves down while gliding at a predetermined height above the guide surface, they allow even lightly loaded stages to operate with high stiffness with damping. The bearings do not require two parallel flat guide surfaces, and both x and y axes can be supported by a single bearing plane, eliminating the need for stacked linear axes. The bearings eliminate the pitch and roll errors inherent in stacked axes and reduce stack height and Abbe error


Product News


Vacuum pumping systems

On-Board TurboPlus vacuum pumping systems allow deposition processes to be performed at lower background pressures and save time in tool pumpdown to base pressure following atmospheric exposures. The systems are also effective for loadlock or transfer module applications requiring lower pressure and faster pumpdowns. With more than 3800 l/sec net water pumping speed for the 10-in. OD ConFlat and ISO 200 versions, these systems are <1 in. higher than comparable turbopumps. They operate from a sta


Product News


Equipment integration software

STATIONworks delivers off-the-shelf drivers, off-the-shelf applications, and the core technology required for rapid roll-out of configurable semiconductor manufacturing equipment automation solutions. It integrates seamlessly with FACTORYworks, which enables chipmakers to deploy a top-to-bottom solution - from shop floor control to equipment automation. Out-of-the-box integration of processes and production data means that the amount of custom code required by the full factory system is greatly


Product News


Etcher upgrade

The TadiVac2000 system/process monitor is designed to monitor and store all critical process parameters for the Tegal 900 series etcher. It will also "alarm" when endpoint slope varies beyond the predefined specification. All critical parameters are collected and stored to disk at a rate 10 times/sec, for more than one year. Kurt J. Lesker Co., Clairton, PA; ph 800/245-1656, fax 412/233-4275, www.lesker.com.


Product News


Surface modification and cleaning

This 18-in. aluminum chamber plasma cleaning system eliminates wet chemicals in cleaning processes, is CFC-free, and produces no harmful effluent. It can be used in surface modification to increase bonding strength between parts. The system is designed with off-the-shelf components except for a heavy wall, aluminum chamber. Mass flow control is standard. Modules and subassemblies can be added to standard platforms. Automated or manual systems and companion 14- and 24-in. systems are available.


Product News


Failure analysis/debug

Laser microchemical processing systems achieve high-speed (>100,000 ?m3/sec) etching of probe trenches through hundreds of ?m of backside, flip-chip silicon ICs, and rapid deposition of narrow (<1-30 ?m wide), high-purity platinum interconnect lines. The etching process volatizes silicon into silicon chloride at the focus of a scanned CW argon laser beam; etching is precisely endpointed using the laser`s optical beam induced current in the IC. High-conductivity lines are deposited at hundreds of


Product News


Sputtering system

The SSC-1000 sputtering system incorporates upper and lower base plates for sputter up or sputter down operation. It is a multistation, moving substrate system, configurable with up to four adjustable DC or RF round cathode stations, which can be used for sequential or co-deposition processing. Features include a single-plane, multisubstrate rotating fixture and a rotating shutter for predeposition processing and positive thickness cutoff control. The system can be configured for substrate heati


Product News


Wet process station

Model 260 is a wet process station, available in lengths of four, six, or eight feet and fabricated from type 304 stainless steel (0.074 thk) with #4 finish. Features include filtered quartz etch tanks, ultrasonic tanks, wafer spinners, rinser dryers, dump rinsers, chemical feed systems, lip exhaust features, and a full-length tub below the work surface with a 1.5-in. NPT drain and exhaust ports connected to the plenum. Victor Assoc., Ivyland, PA; ph 215/443-5664, fax 215/443-5666


Product News


Reflow furnace

The Ultra Profile 4000 furnace is a 12-zone reflow soldering system that offers seven heat zones and three liquid cooled zones, plus load and unload zones for integration into assembly lines. Capable of heating up to 420?C, it is suited to applications involving BGAs, die soldering, fluxless reflow, flip chips, and IMS with copper or aluminum bases. Each top and bottom zone has independent setpoint controls to ensure consistent and precise temperatures for greater profile flexibility. The inert


Product News


Grazing reflection metrology

X-CALIBER is a grazing reflection metrology tool for the precise and rapid measurement of film or substrate density, linear thin film thickness, and surface and interfacial microroughness. The tool`s optics provide standardless measurements in 10 sec, making multipoint mapping a routine operation, and its noncontact and nondestructive operation is suitable for single-layer and multilayer metal films, as well as dielectrics. Density and surface microroughness measurements can also be made on bul


Product News


Metrology tool for 300mm

The MetaPULSE 300 nondestructive, metal-film metrology system uses picosecond ultrasonic laser sonar technology to measure on product wafers. Capable of measuring film thickness in multilayer stacks, the system fills the critical requirements of multilayer metal applications involving CVD, liners, low-k dielectrics, and Cu. With ? accuracy and sub-? repeatability, the tool measures individual layers on product stacks composed of six or more layers, ranging from <20? to >5 ?m. In addition to thic


Product News


Cluster tool systems`

The EV155 automated resist processing system provides spin coating, curing, and developing of photoresists for single wafers and substrates; the EV160 automated developing system provides automated resist developing for wafers and substrates. Both systems easily handle standard and nonstandard wafers and substrates with the versatility of applying thick and thin resists and spin-on films. The coating module on the EV155 accommodates multiple resists of varying viscosities and supports a variety


Product News


SMIF wafer sorter

The MVT 7080 SMIF wafer sorter quickly and safely sorts, splits, merges, or randomizes 75- to 200-mm-wafer lots at rates up to 300 wafers/hour. The system features: a four-axis robotic handler with an integrated laser mapping system and a dual-paddle design for high throughput; a high-speed aligner and integrated wafer ID reader with advanced illumination for accurate and repeatable optical character recognition; a state-of-the-art SMIF enclosure and particle filtration (=0.128 ?m, =1/wafer); a


Product News


Closed ion source gas analyzers

The CIS series closed ion gas analyzers offer better than 1 ppm detection limit for all gases and direct sampling at mtorr pressure. A real-time Windows software package is provided for on-line process monitoring and control, gas purity verification, residual gas analysis, and leak checking applications. The systems have a mass range up to 300 amu, complete programmability, and multiple head operation. Stanford Research Systems Inc., Sunnyvale, CA; ph 408/744-9040, fax 408/744-9049.


People


People Update

Gel-Pak, Sunnyvale, CA, a former division of Vichem Corp., has named Jeanne Beacham president. She was VP of sales and marketing. Chris Brodie has Jeanne Beacham been appointed VP of manufacturing. He has been with Gel-Pak for more than 10 years.


Market Watch


300-mm: How will production software keep up?

As a semiconductor fabrication company manager or employee, what would you do to ensure everything was processed correctly if a single batch of product through a furnace could have a selling price of over $21 million? This is a question IC makers will face in the next few years as 300-mm wafers become available for processing.


Market Watch


Defect inspection technologies to meet the challenges of advanced CMP process

Fast, accurate inspection for defects caused by CMP processes is essential to an effective yield management program. Dark-field system parameters such as illumination angle, polarization, and the effects of repetitive pattern filtering (Fourier filtering) are studied using simulation and experimental techniques. For grain noise reduction, a low angle of illumination produced results superior to a high angle of illumination. A repetitive pattern filter effectively improved detection in the presen


Wafer Cleaning


Single wafer processing of in situ-doped polycrystalline si and si1-xGex

This article demonstrates the industrial feasibility of single-wafer CVD for key advanced BiCMOS (=0.35 ?m) and CMOS (=0.18 ?m) processing steps. In situ As-doped amorphous silicon layers improve electrical performance in BiCMOS devices with a reduced number of process steps. We demonstrate reproducible stacked layers of poly-Si1-xGex/Si with Ge contents ranging from 0-100% and test the electrical behavior of this new gate material in 0.18-?m CMOS devices.


Asiafocus


Japan may lead financial downtown in 1998

At SEMI`s 1998 Industry Strategy Symposium, Pebble Beach, CA, discussions of the uneasy financial conditions in Japan and South Korea dominated conversation.


Asiafocus


Japanese display manufacturers lower estimates

Sluggish sales of personal computers in the Japanese market have resulted in lower-than-expected sales of flat-panel displays for computer applications, and as a result, leading FPD producers have been forced to cut their sales estimates.


Asiafocus


Sumitomo Metal merger

Sumitomo Metal Industries is planning to merge operations with its wafer-making subsidiary, Sumitomo Sitix, and will fund a new 50 billion yen ($377 million) plant in Imari, Saga Prefecture, Kyushu Island. Industry observers in Japan have noted that the merger will give Sumitomo Metal a better position in the electronics business. First year funding of the new large-diameter wafer manufacturing facility will be 10 billion yen. Sumitomo Sitix has already purchased 45,800 m2 of land adjacent to it


World News


World Highlights

Semiconductor sales up in November. World semiconductor sales hit $12.10 billion in November, a new high for 1997, but marginally surpassing October sales by $113 million, according to the Semiconductor Industry Association`s monthly Global Sales report (see table). The sales levels were up 9.1% over November 1996 levels of $11.09 billion. Only European chip sales showed a month-to-month rise, posting 6.0% over October to $2.69 billion, and 13.1% over year-ago numbers of $2.38 billion. Sales in


World News


USA

Eaton Corp., Cleveland, OH, has earmarked $92 million for fab tool operations. At its semiconductor equipment operation headquarters in Beverly, MA, the company is spending $75.7 million to build a 110,000-ft2 production line. The mini-fab will demonstrate ion implant, thermal processing, photostabilization, photoresist stripping tools, and metrology equipment. Eaton is also planning a $13 million, 50,000-ft2 expansion at its ion implant manufacturing equipment line in Beverly. In addition, Eato


World News


japan

Tokyo Electron Ltd. (TEL) is purchasing the assets of the Sony subsidiary Materials Research Corp.`s Semiconductor Equipment Division, including the related PVD/CVD technology, for an undisclosed sum. Not included in the deal is MRC`s Materials Division, its sputtering target unit based in Orangeburg, NY. According to a statement released by TEL, the MRC division will become "a new company to be established in America," and has tentatively been named Tokyo Electron Arizona.


World News


Asia/Pacific

China may be moving ahead on its promise to eliminate tariffs on information technology products, according to Japan`s Nihon Keizai Shimbun newspaper. Government sources indicated that the measures, when announced, may be retroactive to January 1. During a visit to the US late last year, China president Jiang Zemin said China would eliminate the tariffs, which run as high as 35% on semiconductor capital equipment. Questions about when and how extensive the tariff cuts would be were left unanswer


World News


Europe

Dainippon Screen Deutschland GmbH has opened a new DM 2.5 million ($1.4 million) spin scrubber production facility and training center in Dusseldorf, in an effort to improve customer service in Europe. The facility has a capacity of 50 sets/year. The first scrubbers were produced there in July. The support and service division of the Japan-based firm has operated in Germany since 1978.


Tech News


Wet Bench materials scrutinized after fires

Spurred on by new fire safety protocols from the insurance industry, and by the recent rash of devastating fab fires in Taiwan, chipmakers and equipment suppliers are taking a hard look at wet bench safety issues, and seeking ways to reduce the benches` fire hazard. Also, concerns are being raised about possible cost increases for the unglamorous but crucial wet processing stations.


Tech News


Double gets Mosfet demonstrates 25-mm thick channel

As feature sizes continue to shrink, researchers are proposing new transistor designs in order to maintain electrical properties. The double-gate MOSFET is one such approach. According to Hon-Sum Philip Wong and coworkers at IBM, in a presentation at the 1997 IEDM meeting, simulations show that double-gate MOSFETs could achieve continual improvement down to 20-30 nm channel lengths, provided that the channel thickness can be reduced to 10-25 nm and the gate oxide thickness is reduced to 2-3 nm.


Tech News


New Transistor replicates neurons

Frogs are stupid. They can`t calculate digits of p, they can`t transmit data over long distances, they have no grasp of most problems that an average desktop computer considers routine. Yet, as a fly buzzes past, the frog can identify it as food, compute its trajectory, and flick its tongue out to make the catch, all in a fraction of a second. Even the most advanced supercomputers are stumped by such real-time processing problems.


Tech News


Applied materials copper roadmap

Applied Materials recently issued its roadmap for copper interconnect technology, and announced that it plans to offer an entire suit of process solutions over the next several years. In a first move, the company released a new Endura Electra Cu system for the deposition of barrier and seed layers. The new product combines ionized-PVD (termed "Electra IMP") modules for Ta or TaN barriers and for Cu seed layers. The company also stated that it will develop a copper electrochemical deposition (ECD


Tech News


Laser system Personalizes 0.35-um gate array

A newly upgraded laser micromachining system provides rapid personalization of 0.35-?m gate array devices, with improvements of throughput and accuracy.