Issue



Table of Contents

Solid State Technology

Year 1998
Issue 2

FEATURES

Feature Products


Advanced software technologies in semiconductor manufacturing

Manufacturing system technologies are having an increasing impact on complex semiconductor factories and the equipment that populates them. Understanding more about this domain will be essential for a broad spectrum of manufacturing personnel. Good management doesn`t mean knowing all the answers - more often than not, it`s knowing the right questions to ask. Nowhere is this truer than in the domain of software technology. The following offers areas to consider in planning manufacturing system te


Feature Products


Fueling the productivity engine

Moore`s Law states that the number of transistors on a chip doubles every 18 months while the cost of the chip remains constant. This steady decrease in cost/function is directly responsible for the increasing market penetration of semiconductors and the emerging information age. Yet, since the cost of equipment, facilities, and engineers climbs steadily, Moore`s Law requires continuous productivity improvements. Traditionally, these gains have come from improved yield and increased wafer size.


Feature Products


Defect review and inspection tool

The SEM-based XL850 defect review and inspection tool, a Class 1 cleanroom-compatible system with a robot cassette loader, is designed for evaluation, yield management, and failure analysis applications supporting design rules to 0.18 ?m and beyond. The tool provides high resolution and low-voltage operation, with 3-nm resolution from 1 to 30 kV; this performance is achieved even up to a 60? tilted view and a very short 5-mm working distance. The operator can switch directly between field-free m


Feature Products


Large-area wedge bonder

Model 8090 features a very large bondable area and the ability to integrate material handling systems (MHS) with other surface mount equipment. This allows efficient processing of the large panels and multi-up carriers used in the assembly of chip-on-board, chip-on-flex, and multichip module (MCM) devices. Throughput is >5 wires/sec and pad pitch capability is better than 70 ?m. In addition to gold wire, aluminum can be used to meet or exceed a range of process requirements, including those pose


Feature Products


Integrated polycide solution for advanced gate applications

The Polycide xZ Centura system combines polysilicon and tungsten silicide process steps for the fabrication of the high-quality polysilicon and tungsten silicide (polycide) films used in the production of DRAM, flash memory, and logic devices. The system deposits polysilicon and silicide films using sequential processes under vacuum; the film is not exposed to atmosphere during processing, ensuring good adhesion between layers, low particle contamination, and improved yield. A key feature of the


Feature Products


Advanced spectroscopic ellipsometry

ASET-F5 is a thin film tool that meets the requirements of 0.15 and 0.13-?m device generations, collecting measurement data across a continuous wavelength spectrum from 193 to 800 nm. It combines broadband spectroscopic ellipsometry with dual-beam spectrophotometry for simultaneous measurement of multiple film attributes, thickness, refractive index, and extinction coefficients of single- or multilayer thin film stacks without referencing or extrapolation. The ASET-F5 features a new modular hand


Feature Products


Input parameter SPC for diffusion furnaces

Lack of access to important information in the diffusion area made improving the processes and systems very difficult. Hours were spent troubleshooting nonproblems, while the real instabilities often went unnoticed. To improve the productivity of our troubleshooting and process improvement activities, we began a project to implement a real-time input parameter statistical process control (SPC) system. To date, the project has helped maintain tighter process control and facilitated preventive mai


Feature Products


Implantation damage and transient enhanced diffusion modeling

Implantation damage controls most of the dopant diffusion effects seen in modern silicon technologies. Despite more than 20 years of effort, understanding of defect-coupled dopant diffusion still falls short of what is practically required to support state-of-the-art silicon technology development. To obtain models that are as predictive and efficient as possible, we must combine the best of our physical understanding with measurements of dopant profiles for technology-relevant conditions. This


Productivity


Improving wafer fab productivity with efficient floor layouts

As the cost of building a new fab approaches $2 billion, semiconductor manufacturers are analyzing all factors that influence profitability. Production floor layout design can significantly increase product fabrication and material-handling efficiency. Recent studies have estimated that a 10-30% reduction in manufacturing operating expenses can be realized through redesigning floor layouts [1]. In fact, if optimal layout designs were implemented throughout all industries, the annual manufacturin


Deposition


Topography simulation for interconnect deposition

New process simulation programs can closely model the complex structures of ULSI interconnects. Level-set methods simulate and predict the structure of evolving surfaces in three dimensions, such as that seen in thin-film deposition. Models rely upon iterative calibration using empirical results. The ramifications of process or design changes can be predicted, and reliability-related problems such as void formation during thin film deposition can be prevented.


Deposition


Serious trade-offs challenge process integration

This is the second installment of Solid State Technology`s review of the Semiconductor Industry Association`s (SIA) 1997 National Technology Roadmap for Semiconductors (NTRS). Senior Technical Editor Ed Korczynski authors the first article here, on PIDS, but the rest are written by the Roadmap`s working group co-chairs for Interconnects, Factory Integration, and Metrology.


Deposition


The Future of Interconnects

The 1994 NTRS identified materials as key to addressing the device performance bottleneck. However, materials solutions alone will be inadequate to address needs of future VLSI de-vices. The recent NTRS empha-sizes that a much broader perspective should be taken in addressing future interconnect technology issues, involving new materials and device designs.


Deposition


Factory level issues and needs from NTRS

The Factory Integration Technical Working Group (FI TWG) of the NTRS has identified fundamental factory level challenge areas.


Deposition


Next-generation metrology must meet challenges

The Metrology Roadmap section appears for the first time in the 1997 NTRS. It is one of the "crosscutting" technology areas, and thus the NTRS contains a separate metrology report and focused one-page metrology sections [1]. The metrology topics covered are microscopy, CD and overlay, film thickness and profile, materials and contamination analysis, dopant profile, in situ sensors for process control, reference materials, and correlation of physical and electrical measurements. A team of Metrolo


Deposition


Reducing defects to manage yield

In the 1997 edition of the SIA National Technology Roadmap for Semiconductors (NTRS), the Defect Reduction program in the Cross-Cut Technology Working Group brought an overall yield management focus to the tables. The defect reduction subjects discussed in the new roadmap included defect detection and classification hardware and software, yield modeling, electrical fault detection and isolation, and material purity requirements. In the 1994 edition, defect reduction technology was considered in


DEPARTMENTS

Editorial


The blurring generations

Along time ago - way back in the early 1990s - device generations were clearly separated. For several decades, the industry moved in relative unison through successive generations. Technologists used common device architectures and core processes, so that everyone knew (with a great amount of detail) what was meant by "half-micron." For example, DRAM storage cell designs and interconnect metallization processes could both be discussed in reference to a particular generation.


People


People Update

The Fluid Sealing Association, Wayne, PA, an international trade association, has elected F.A. Paino to its board of directors. He is president of Greene, Tweed & Co., Kulpsville, PA. Paino joined the company in 1966 as a field sales representative.


News


Wons softness affects South Koreas Samsung

In response to South Korea`s currency turmoil, Samsung Group, Seoul, has delayed the second phase of its Austin, TX, DRAM fab. The company has initiated a broad restructuring plan, under which it will focus on its core growth businesses, including memory and nonmemory semiconductor units, according to a spokesman. For 1998, investments in the electronics group will be $5 billion, with $1.7 billion dedicated to domestic semiconductors.


News


China to eliminate tarrifs

China may become the 40th country to join the Information Technology Agreement (ITA) and eliminate tariffs on information technology products, according to Chinese President Jiang Zemin during his recent visit to the US, but details about the proposed tariff-eliminating action will likely remain sketchy for several months.


News


SEMI trade mission finds incentives from China

A group of equipment and materials executives visiting China on a SEMI-sponsored trade mission found continued evidence that the nation is eager to attract semiconductor manufacturing technology to its industrial zones, with industrial parks offering incentive packages to companies locating there.


News


Little impact seen from Asian market woes

Noting that the next round of buying in the wafer fab market will be driven by technology and not capacity, market research firm The Information Network, Williamsburg, VA, is forecasting that the recent upset in Asian markets will not have a huge impact on the equipment sector. According to company president Robert Castellano, Asian firms will continue to grow not simply by adding capacity as they did in recent years, but by investing in new fabs that are capable of producing 256-Mbit DRAMs. The


News


Tokyos VLSI center adds foundries

With several large firms already signed up as foundry partners, the University of Tokyo`s VLSI Design Education Research Center (VDEC), Hongo, Tokyo, is eyeing the addition of two additional foundries to its program next year. Sony Corp., Atsugi, will provide foundry services for gate arrays, and Rohm Co. Ltd., Kyoto, will join the program as a foundry for 0.6-?m CMOS processes.


News


Japans foreign market share up

Foreign share of the Japanese chip market rose 3.2 percentage points to a record high of 35.8%, according to the Semiconductor Industry Association (SIA). American and other non-Japanese semiconductor-producing nations continued to make inroads into Japan`s market during 2Q97, up from 32.6% recorded in 1Q97. According to SIA president George Scalise, the increase reflects the value of cooperative relationships between foreign semiconductor makers and their Japanese customers over the past few ye


News


Koreas DRAM Inc. meets reality

At the beginning of July 1997, the South Korean won stood at the then low of 888 to the US dollar. By mid-December the won stood at 1710 won to the dollar: a spectacular fall of 52 percent in less than six months. The repercussions of this collapse are enormous. Not only has the world`s 11th largest economy been brought to its knees, but the world`s lender of last resort, the IMF, is undertaking its biggest rescue ever with a $57 billion effort. Korea`s high-flying semiconductor companies - Hyun


News


Taiwans future linked to foundries

The balance of power in the semiconductor industry is set to shift as foundries become a key driver for wafer processing technology and the IC design community sees the emergence of "chipless" companies driven by intellectual property development.


News


Comprehensive risk management for IC fabs

Due to several major recent fires in Taiwan, a Semiconductor Facility Accident Prevention Conference was held in Hsinchu on Dec. 4-5, 1997. This conference was sponsored by the Industrial Technology Research Institute (ITRI), the Taiwan Semiconductor Industry Association (TSIA), Taiwan`s National Safety Council (NSC), and the Ministry of Economic Affairs (MOEA). This article discusses some of the issues presented at that conference.


Product News


Automated ball attach systems

The VAi 6000 fully automated BGA ball attach work cell performs the entire ball cycle in 20 sec through a ball transfer process that accomplishes simultaneous ball placement on all substrates located within its work envelope. To do this, it uses a screen print process for fluxing and a gravity feed technique for ball placement. The system can process a wide variety of single and strip BGA substrate formats. Through the use of an interchangeable input handling system, single devices in trays or


Product News


Low k dielectric material

FLARE 2.0, with k = 2.8, combines low k dielectric constant, thermal stability, low moisture absorption, and high glass transition temperature. When exposed to temperatures from 385-450?C, it exhibits no increase in dielectric constant. In addition, a high glass transition temperature of >400?C provides a larger processing window, while thermal stability to 425-450?C results in stable film. The high glass transition temperature and very low outgassing characteristics of FLARE 2.0 are optimized f


Product News


PBGA adhesive

Ablebond 8510 fast-cure, die-attach adhesive is designed for PBGA packaging. Cure times are reduced to 15 min at 150?C, and cycle times are further reduced since this material does not require drying of the PBGA substrates prior to die attach. 8510 exhibits very good moisture resistance, allowing packages to achieve higher levels of JEDEC moisture performance. Ablestick Electronic Materials and Adhesives, Rancho Dominguez, CA; ph 310/764-4600, fax 310/764-2545.


Product News


Motorized flux station

This motorized flux station option, for the Model 850 flip chip placement and Model 410 flip chip bonding systems, features a large rotating dish that accommodates flip chip devices up to 1 in.2 The dish, with a flux material-leveling knife suspended over it, rotates on a motorized spindle, stops when the device is dipped into the flux in the dish, and then starts rotating again once the device is lifted up. Semiconductor Equipment Corp., Moorpark, CA; ph 805/529-2293, fax 805/529-2193, www.semi


Product News


Conductive polymer

Unlike solder, EPO-TEK E2101 silver-filled epoxy does not flow when subjected to heat. Designed for stencil printing, it exhibits a smooth, thixotropic consistency for reliable and reproducible fine pitch print. Developed specifically for the PFC process for conductive bumps in flip chip assembly, this two- component epoxy is 100% solids and contains no solvent or thinner that will "dry out" during processing. It exhibits a volume resistivity of 0.0001 to 0.0004 ohm-cm, low outgassing, Al:Al


Product News


Flip chip underfill

Chipshield 2527 is a UV and/or heat cure, low-coefficient-of-expansion flip chip underfill that has very low viscosity and high flow for capillary chip underfills, while maintaining a very low coefficent of thermal expansion - <30 ppm/?C. The epoxy around the perimeter can be surface cured with UV light in a few seconds to fixture the underfill. The epoxy under the chip, shielded from the UV, is then cured in a short heat post cure. Electronic Materials Inc., Breckenridge, CO; ph 970/547-0807, f


Product News


Recirculating etch bath filler

The Ulti-Etch filter provides high flow rates and rapid bath turnover in new-generation etch baths, while ensuring high-efficiency particulate removal and low extractable levels. It uses the crescent-shaped Ultipleat filter design, which combines high voids volume with increased filter area. Constructed from a hydrophilic PVDF medium, Ulti-Etch has a 0.1-?m removal rating in recirculation mode. PVDF, a naturally hydrophobic medium, is hydrophilized by chemically surface modifying the medium sur


Product News


Microfiltration technology

Exxflow is a microfiltration technology that builds a dynamic filtration layer from the natural precipitate of solids present in the process solution on a fabric membrane. The system is well suited to treating and recycling wastewater that contains suspended particles present in wafer manufacturing processes. Systems can be installed on wafer dicing saws reclaim, backgrind, polishing, CMP, and other slurry waste streams. Kinetico Inc., Newbury, OH; ph 800/633-5530, www.kinetico.com/esd.htm, e-ma


Product News


CMP slurry filtration

Due to their tangential flow, Spiraltek filters meet the special requirements of CMP slurries. Unlike conventional filters, they retain gelatinous material and prevent premature plugging. Agglomerations are swept from the filtering surface and held inside the filter, leaving the surface free for more filtration. The filters have very high dirt-holding capacity, providing up to six times the life of standard pleated cartridge filtration. Osmonics, Minnetonka, MN; ph 800/848-1750, fax 612/933-014


Product News


Clean bench

The Heraguard workbench ensures a particulate-free environment for the testing and packaging of electronic components. A horizontally conducted flow of extremely pure, multifiltered air prevents particles from entering the work area, through the use of a pre-filter and Hosch filter with a retaining power of 99.999% of 0.3-?m particulates. The bench is available in widths ranging from 1-1.9 meters, two interior heights, and two depths. Heraeus Instruments GmbH, Hanau, Germany; ph 49/6181-35300,


Product News


Etch bath filler

The STyLUX polyethersulfone membrane filter is designed to control contamination in etch baths. Without changing housings, the filter`s exceptional flow rate allows for upgraded filtration to 0.1 or 0.04 ?m from 0.2 or 0.1 ?m, with increased turnover rates. The hydrophilic filter eliminates pre-wetting problems and offers low metals extractables. Meissner Filtration Products Inc., Camarillo, CA; ph 805/388-9911, fax 805/388-5948.


Product News


Ultrapure water systems

Clear Series ultrapure water systems (for IC manufacturing) use a single multifunctional cartridge containing an active carbon stage, a high-performance mixed-bed ion exchanger, and a scavenger stage (containing a mixture of macroporous adsorptive resins). They provide 18.2 M? cm resistivity and 0.2-?m particle removal with a final filter. UV lamps give effective photo-oxidation on organic compounds, resulting in TOC values of <3 ppb, and the ultrafiltration units guarantee pyrogen-free water. S


Product News


Liquid particle counters

The PLCA-700 series liquid particle counters provide 0.1-?m sensitivity in all types of liquid with 100% counting efficiency. Operating on-line, the counters give high-sensitivity measurements from 0.1-1.0 ?m. High flow measurements cover a range of 0.2-5.0 ?m at rates to 150 ml/min. As many as six channels of data can be displayed in real time. Compact sampling units permit off-line batch measurement. Both a suction-type module and a pressurized version handle a 0.2-10-?m range, and both sampli


Product News


Shallow trench isolation

This new shallow trench isolation (STI) application set for the TCP 9400SE polysilicon and polycide etch tool offers the choice between a two-chamber, ex situ process with less complex chemistries, and a single-chamber, high-throughput, in situ process option. The STI process can be alternated with other 9400SE applications without changing the tool configuration. The ex situ process separates the initial nitride-oxide mask etch and subsequent silicon trench etch into two chambers to simplify ch


Product News


PVD sputtering system

The Eclipse Mark IV is an ultrahigh-vacuum (UHV), serial indexing, vertical PVD sputtering system with an advanced digital control system, user-friendly software, and chamber geometries for 200-mm wafers. It has a flexible platform that can be custom-configured for a wide variety of metal applications, including interconnects, barriers, silicides, conductors, and packaging and GaAs. Other features include innovative wafer handling and clamping equipment, quick-change target tooling, UHV process


Product News


Integrated CMP technology

AVANTGAARD 776-T combines the AVANTGAARD 776 integrated CMP process capsule with the Synergy Integra wafer-cleaning system, providing a complete dry-wafer-in, dry-wafer-out solution for CMP. The system offers an alternative to conventional stand-alone CMP processing; its benefits include optimization of cleanroom space, high throughput, and a fully characterized CMP process. IPEC-Planar/OnTrak Systems Inc., San Jose, CA; ph (OnTrak) 408/952-5635 or (IPEC) 602/517-7231.


Product News


Gas monitoring transmitters

Explosion-proof Tox-Array transmitters use chemically selective Toxic, LEL, and O2 sensors that do not react with air, are not sensitive to changes in temperature, humidity or pressure, and stay awake when gas is absent. Recovery is less than 15 sec, even when exposed to high gas levels. I/O options are 4-20 mA, RS-485, LONWORKS, MOD, or CAN Bus with nonintrusive calibration. Mil-Ram Technology Inc., San Jose, CA; ph 408/324-0660, fax 408/324-1661, e-mail [email protected], www.mil-ram.com.


Product News


SIF4 for ion implanters

With the release of a product for ion implantation using silicon tetrafluoride (SiF4), all four ion implantation gases (including arsine, phosphine, and boron trifluoride) are available as Safe Delivery Source products. Using pure gases at low pressure, SDS delivers gas based on the pressure differential between the SDS cylinder and the ion source of the implanter. SiF4 SDS gas cylinders deliver approximately the same amount of gas filled at one atmosphere as the same size of high-pressure cylin


Product News


Vapor dryer for 300-mm wafers

The 300mm Vapor Dryer, for wafers up to 300 mm in dia., employs a process in which hydrophilic and hydrophobic wafers are particle neutral at >0.16 ?m. Metallic ion and organic residue is neutral, measured at >0.1 ppb. No haze, stains or streaks are left on the wafers. The technology features throughput of >600 wafers/hour, while addressing the issues of solvent consumption (<3 ml/wafer) and emission losses (<0.25 liter/hour). DIW and N2 consumption are zero. Fully programmable software is incl


Product News


Mask alignment microscopes

Three models of modular mask alignment microscopes differ by the objective separations: 24-110 mm, 5-30 mm, and 5-80 mm. The microscopes are offered in various modular configurations, such as vertical or transmitted light illuminations, coarse or coarse and fine focus controls, and a selection of binocular, trinocular, and video heads. Unitron Inc., Bohemia, NY; ph 516/589-6666, fax 516/589-6975, e-mail [email protected].


Product News


Wafer processing and transfer system

This self-contained wafer-processing and transfer system features highly reliable motion control and high-performance robotic automation. The system performs three processes in a continuous loop -a vacuum cluster tool sequence, a cleanroom sequence, and a cassette-handling sequence. The wafer transfer solution simulates wafer processing and features four robots, a servo track, wafer transfer equipment, wafer nests, cluster tool, pre-aligner, and a vision system, all under the control of the CP


Product News


Modeling tool for imaging system

ASAP 5.1 is a general optical analysis software program that models semiconductor imaging systems. It facilitates the modeling of a partially coherent imaging system, including the effects from wavefront aberrations and diffraction. The user can model the effects due to stray light -notably, ghosting and scattering. ASAP allows the stray light image to be viewed, as well as the percentage of stray light associated with each system component and path. Breault Research Organization, Tucson, AZ; ph


Product News


Vacuumpreloaded air bearings

Because these vacuum preloaded air bearings hold themselves down while gliding at a predetermined height above the guide surface, they allow even lightly loaded stages to operate with high stiffness with damping. The bearings do not require two parallel flat guide surfaces, and both x and y axes can be supported by a single bearing plane, eliminating the need for stacked linear axes. The bearings eliminate the pitch and roll errors inherent in stacked axes and reduce stack height and Abbe error


Product News


Vacuum gate valves

Throttlemaster vacuum gate valves position the gate full open, full closed, or anywhere between, to an accuracy of ?0.002 in. (0.05 mm). The 1/4 DIN control module provides front panel manual control of gate position for process development and accepts 0-10 V or 4-20 mA signals from the PLC or controller for process control. Also included are contact closures on the rear panel for open and closed position indication. Valves are available in aluminum or stainless steel with ANSI flanges from 2


Product News


Metrology tool for 300 mm

The MetaPULSE 300 nondestructive, metal-film metrology system uses picosecond ultrasonic laser sonar technology to measure on product wafers. Capable of measuring film thickness in multilayer stacks, the system fills the critical requirements of multilayer metal applications involving CVD, liners, low-k dielectrics, and Cu. With ? accuracy and sub-? repeatability, the tool measures individual layers on product stacks composed of six or more layers, ranging from <20? to >5 ?m. In addition to th


Product News


Backside thinning system

Chip UnZip, the system that thins backside silicon in flip chips and other face-down designs to permit emission imaging of defects, has been enhanced so that the speed with which the die is thinned has increased by more than 100%. The system removes epoxy or ceramic packaging and backside silicon in a window precisely defined to give access to the active area of the die, while leaving the leads intact. When the thinned chip is biased, defects emit light through the thinned silicon. Hypervision I


Product News


Pulsed power generation

PowerMOD solid-state, high-voltage pulse modulators are designed for semiconductor and materials processing, offering very high reliability. They are composed of standardized solid-state switch modules that allow complete adjustability of process parameters and a broader operating range. PowerMOD model HVPM 20-2000 generates up to 40 MW of power in a single pulse 1000 times/sec, and handles over 2000 amps in current; other models provide pulses up to 120 kV in voltage. Diversified Technologies I


Market Watch


The move to 300-mm wafers: A prime time to consider reclaim

The semiconductor industry`s acceptance and move to the use of 300-mm wafers provides an excellent opportunity to consider the virtues of using wafer reclamation services to decrease the overall cost of the transition. During a recent I300I/J300 Global Joint Guidance conference, Paolo Gargini, Intel`s director of technology strategy, estimated the industry`s transition costs to be upwards of $14 billion.


Asiafocus


Japanese IC makers reduce FY sales forecast

In financial reports for the first half of fiscal 1997, Japan`s top five chipmakers present a clouded picture, with four of the five firms revising downward their expected semiconductor production levels. Three of the five expect an operating loss for the current FY, which ends in March.


Asiafocus


Workshops address Taiwan fire issues

After a number of devastating fires ripped through its local fabs, Taiwan`s Industrial Technology Research Institute (ITRI), Hsinchu, along with the Taiwan Semiconductor Industry Association, is sponsoring workshops that advocate fire prevention and a change in deeply rooted attitudes about personal safety. Shuh Woei Yu, general director of ITRI`s Center for Industrial Safety and Health (CISH), said the goal of the first workshop, held December 4-5 at Hsinchu`s Science-based Industrial Park, was


Tech News


Copper wire pilot production, low-k feasability studied

At the recent IEEE Electron Device Meeting (IEDM), held in Washington, DC, chipmakers began to disclose their integration schemes for Cu metallization.


Tech News


Integrating ferroelectrics

One symposium at the recent MRS Fall Meeting in Boston, MA, was devoted to the properties and processing of ferroelectric thin films. The high dielectric constant of these materials makes them an attractive alternative for DRAM manufacturers attempting to achieve the required cell capacitance in a smaller space.


Tech News


Efficient new VUV light source

The search for short wavelength radiation sources for lithography has recently concentrated on pulsed lasers and laser-produced plasmas. Now, researchers at Rutgers University and Munich Technical University have demonstrated an efficient and bright UV and VUV excimer (CW) light source, based on continuous e-beam excitation. The enabling technology for this source (see figure) is an e-beam window made of SiNx, which is both thinner and stronger than conventional titanium foil windows. The window


Tech News


IBM plans 300-mm fab at East Fishkill site

IBM will build a new developmental 300-mm wafer fab in East Fishkill, NY, and plans to begin producing DRAMs with 0.18-?m design rules by the end of 1999. The computer giant also plans to upgrade its x-ray lithography development program to handle 300-mm wafers.


World News


Worldwide highlights

Growth seen in front-end tool market. The world market for front-end wafer processing tools will grow 13.7% in 1998, compared to 8.2% growth in 1997, reports The Information Network, Williamsburg, VA. Among the hottest sectors will be lithography, rapid thermal processing, and resist processing, all of which are seen growing at well over 10%, with steppers and step-and- scan equipment seen rising 18.3% to $6.6 billion (see table).


World News


USA

Ibis Technology, Danvers, MA, has produced what is believed to be the world`s first 300-mm SIMOX wafer, and will pursue several market development strategies under incoming president and CEO Martin Reid. The 300-mm wafer, made by Ibis partner Mitsubishi Materials, was implanted with oxygen on an Ibis 1000 system. The several producers of 300-mm ion implanters will utilize magnetic beam scanning technology licensed from Ibis. In addition, Ibis has won three R&D contracts, under which it will furt


World News


Japan

Japan`s Compound Semiconductor Industry association said sales of compound semiconductors reached a new record in the first half of this fiscal year, jumping 23% to 23.2 billion yen ($190 million) compared to last year`s figures. Domestic sales were up 17.9% to 14.3 billion yen, with exports up 54.8% to 8.9 billion yen. GaAs and GaP sales, both domestic and exports, were also on the rise. GaAs sales were 11.5 billion yen ($96 million), up 19%; GaP sales totaled 9.5 billion yen, up 27%.


World News


Asia/Pacific

Anam Semiconductor, Buchon, South Korea, has ordered more than $15 million worth of manufacturing equipment from Eaton Semiconductor Equipment Operations, Beverly, MA, for its fab in Buchon. The sale includes the GSD series high current and GSD/HE series high energy ion implantation systems. Anam has also ordered EHP-500 medium current ion implantation systems, worth $11.7 million, from Varian Associates Inc., Palo Alto, CA. Anam will use the systems to manufacture CMOS devices in its new Fab 1


World News


Europe

ASM International, Bilthoven, The Netherlands, has agreed to an $80 million settlement to end all patent litigation between it and Applied Materials. Under the agreement, ASM will license all patents related to its epitaxial and CVD technology included in its past lawsuits against Applied, which, in turn will license all of the patents included in its lawsuits against ASM. Applied will additionally license to ASM its TEOS-process patents, according to Russell Fairbanks, VP and general counsel fo