Table of Contents
Solid State Technology
Year 1999 Issue 1
| DEPARTMENTS
Editorial The road to higher productivity
If it costs $1.5 billion to build a new semiconductor fab, then getting one free of charge is a super bargain. That is what Texas Instruments set out to do a few years ago - create enough additional good wafers per month across several fabs to equal the output of a brand new fab. In effect, the result would be a "virtual fab," producing the same output from existing fabs as the company would achieve by building a whole new factory. It worked! In fact, TI was able to continue this project for mul
Product News Articulated panel carrier
This new carrier design can support panels of widths up to 52 in. and can be custom-engineered for larger applications. The benefit of the design is even weight distribution of the panel across the carrier during thermal processing. By achieving consistent weight distribution, stresses are reduced, preventing panel warping and resulting in higher yields. BTU International, North Billerica, MA; ph 978/667-4111, 978/667-9068, e-mail [email protected], www.btu.com.
Product News Flip chip/die bonder
Model CB-5H fully automated flip chip bonder is a modular, granite-based machine that can accept a wafer handler with flipper and from one to four 8-in. wafers, withdie sizes varying from 0.3 to 25 mm. The automatic substrate handler can load and unload boats, boards, and frames con-structed from such materials as Flex, FR-4, PWB, and ceramics. Additional die presentation options are waffle packs, gel packs, and tape feeders. The system performs high-accuracy and high-force flip chip/die bondin
Product News Chip carrier cleaners
The LFC 100 and LFC 150 chip carrier cleaners plasma-clean the carriers in standard magazines. This cleaning step increases wirebonding and molding yields for PBGA, TBGA, fpBGA, and QFP-type packages. The method offers the following advantages: no sputtering and re-deposition; no electronic damage to sensitive chips; and no damage to plastic chip-carrier materials and polyimide. Balzers Instruments, Balzers, Liechtenstein; ph 41/75-388-4368, fax 41/75-388-5429,
Product News Dual-channel chiller systems
These single- and dual-channel chiller systems are plug-compatible with Lam Research and Applied Materials etchers. Systems are available for circulation of either DI water or Galden/Fluorinert fluids, and feature magnetically coupled gear pumps and an O ring-based seal system to ensure reliable leak-free temperature control. Up times >99% can be documented, and the systems are fully SEMI-S2-93 and CE certified. Bay Voltex Corp., Livermore, CA; ph 925/606-6293, fax 925/606-6297.
Product News Cleaning tank cavitation meter
The pb-502 detects energy in W/gal and frequencies from 0 to 2 MHz in ultrasonic and megasonic cleaning tanks. The meter allows measurement of cleaning tank performance and establishment of tank-to-tank uniformity. It is available as a portable unit with 100 memory locations and RS-232 downloading capabilities, or as a panel-mount-ready unit with two 4-20 mA outputs of energy and frequency for PLC communication. The probe is compatible with DI water, IPA, acetone, SC1, and SC2, and many solvents
Product News Precision translation stages
The M-400 family of leadscrew-driven micropositioning stages are offered with travel ranges of 50, 100, and 150 mm. The stages are equipped with closed-loop DC motors and position encoders featuring <0.1-?m resolution. Gearhead and direct-drive versions are available. Straightness of 2 ?m/100 mm is guaranteed by high-quality crossed roller bearings. The stage base is precision-machined from high-density, stress-relieved aluminum for good stability and minimum weight. Special steel inlays provide
Product News Compact X-Y stage for 300 mm
The MAS-300 is a compact X-Y stage designed for 300-mm wafer positioning. It reaches velocities up to 500 mm/sec at sub-micron resolutions. Brushless linear servo motors provide fast move and settle times, minimize stage vibration, and give high MTBF. All moving cables are completely enclosed within the stage. Designed to be compact enough to meet I300I footprint guidelines, the MAS-300 requires a total envelope of <28 ? 24 in. New England Affiliated Technologies, Lawrence, MA; ph 978/685-4900,
Product News Rackmount power conditioners
Power conditioning enables manufacturing tools to operate without interference from harmful voltage transients and line noise. This translates into greater uptime and fewer rejects, with reductions in system crashes, unexplained system errors, and other soft failures. The CRM Series of rackmount power conditioners, with 5.8, 7.2, and 8.3 kVA units, has been developed expressly for the semiconductor market. The 19-in. rackmount design allows for the power conditioner to be incorporated into the s
Product News Spin-o polymer
PureSpin advanced spin-on polymer, for use in intermetal dielectric applications, will help to make non-etchback processes the standard in DRAM manufacturing, eliminating several steps currently used with the partial-etchback methodology. PureSpin is compatible with isopropyl alcohol, allowing easy integration into current equipment configurations. It is formulated to form a crack-free, low-k (3.1) interlayer dielectric to fill gaps as small as 0.1 ?m without voids. The dense nature of the diele
Product News Integrated cell control framework/GUI
ToolStation is an integrated cell control framework that eliminates the majority of custom coding required for fab equipment automation. The system includes: a fab-wide GUI with point-and-click control of process tasks to almost any manufacturing execution system (MES) and to all processing equipment; a customization toolkit that reduces the time required to build custom cell controllers and GUI plug-in screens to specialized requirements; an MES application interface that automates MES function
Product News In situ gas analyzer
Process Sense is a compact, low-cost, quantitative in situ sensor for determining gas composition within a production feed, process tool, or exhaust gas line. The sensor features a large dynamic range, fast response, low detection limits, large wavelength range, and lifetime calibration. It uses infrared absorption - changing filters is all that is necessary to measure different gases - and increases throughput and reduces consumables by optimizing chamber clean and process etch endpoint, even i
Product News Digital mass flow controller
The MultiFlo elastomer digital Model 8101 and DeviceNet digital Model 8105 mass flow controllers represent a new class of MFCs foe applications requiring lower-cost elastomers. True setpoint accuracy is available at ?1% setpoint and ?3s limits in both MFCs, which have a projected Weibull characteristic life of >380,000 hours MTBF. The controllers have storage capacity in memory for up to nine surrogate and 26 process gases. Their digital electronics provide for in situ re-ranging, diagnostics,
Product News Pressure transducers for DI water
Models 2210 and 3210 flow-through pressure transducers are constructed from natural polypropylene or PVDF, respectively, and are available for standard metric and English pipe sizes. The flow-through design offers a minimal dead volume, reducing the possibility of process contamination. Using nonmetallic sensors, the transducers contain no moving parts or fluid-filled cavities to contaminate the DI water system. Each transducer is factory-calibrated to provide an accurate and reliable measuremen
Eurofocus Siemens spins out semiconductor business
Siemens AG is spinning out its semiconductor business into an independent company, and then taking the operation public. Siemens is restructuring operations by withdrawing from its DM 11 billion (US$647 million) components business segment, comprised of passive components, electron tubes, electromechanical components, and the largest of the groups - semiconductors. A spokeswoman said the spun-out operation will be wholly owned by Siemens initially, but it appeared that over time, Siemens will re
Eurofocus Jenoptik/s new CEO to provide faster starups
Under leadership of new president and CEO Wolfgang Mayr, automation hardware and software developer Jenoptik Infab, Jena, Germany, plans to help customers achieve faster fab startups and better materials flow. "The hardest thing is to go from moving the equipment in to getting the first wafer out," he noted. It now takes about three months for a fab to progress from equipment move-in to qualification. Mayr said Jenoptik Infab needs to begin its work with chipmaking customers very early in the fa
Eurofocus ASM provides furnace for 300-mm
ASM Europe B.V., Bilthoven, The Netherlands, a subsidiary of ASM International, has shipped its A412 dual reactor vertical furnace for 300-mm processing to Selete, Yokohama, Japan, for evaluation. The system includes an LPCVD silicon nitride and TEOS tube, and an LPCVD in situ doped polysilicon tube, configured with pre-purged FOUP cassettes and a nitrogen-purged mini-environment. The system is capable of 130-nm device technology processing, and is one of five dual tube systems that will be ship
Eurofocus Scotland lithography conference
A call for papers has been issued for the Conference on Lithography for Semiconductor Manufacturing, scheduled to be held in Edinburgh, Scotland, during the next European Symposium on Microelectronic Manufacturing Technologies (May 19-21). With a major focus on sub-0.25-?m technologies, the conference will place a special emphasis on novel optical techniques, new resist and antireflective materials, linewidth and overlay metrology, and advances in imaging equipment and technology. Chris Mack of
Eurofocus Aixtron active in nanotechnology
Aixtron AG, Aachen, Germany, will participate in two Centers of Excellence in nanotechnology sponsored by the Germany Ministry for Education, Science, Research, and Technology. The NanOp - Applications of Nanostructures in Optoelectronics center will study the application of the quantum effects in nanostructures, measuring several millionths of a millimeter, in future optoelectronic components. Applications for innovative products include laser-TV, lighting systems, screens, and displays. The Ce
Eurofocus Romanias research and manufacturing facilities
With the fall of the Iron Curtain, microelectronics and related technologies, which were almost exclusively dedicated to defense-related programs, are undergoing privatization and are expanding.
Asiafocus UMC restructures and forms joint ventures
Having recovered from a fire last year, UMC Group, based in Taiwan`s Hsinchu Science Park, is undergoing a restructuring plan that includes joint ventures with customers, and is building a fab in Tainen.
Asiafocus Singaporee new foundry
Taiwan Semiconductor Manufacturing Corp. (TSMC) and Philips Electronics, the Netherlands, will build Singapore`s eleventh fab, a $1.2 billion joint venture facility targeted to begin production in late 2000. Construction on the 200-mm facility is slated to begin in early 1999 in Singapore`s Pasir Ris Wafer Fab Park. The facility will have a capacity of 30,000 wafers/month; it will begin production using 0.25- to 0.18-?m processes.
Asiafocus Japanese chipmakers cut spending plans
Japan`s large semiconductor houses have disclosed gloomy sales results for the first half of the Japanese fiscal year, and made cuts to their capital budgets for the year (see table).
Asiafocus Amkor endorses Anam
Amkor Technology, a provider of packaging and test systems, is endorsing South Korean subcontractor Anam Semiconductor Inc. in Anam`s pursuit of a "Workout" financial restructuring program. The program, established to assist viable Korean companies in restructuring their debt, provides a forum for negotiations between companies and their banks. In addition, Amkor will begin running a 0.21-?m feature size 0.18-?m effective gate length process at its fab in Buchon, South Korea, starting in March.
World News Worldwide highlights
Equipment sales and chip sales rise in October. The North American semiconductor equipment industry book-to-bill rose to 0.73 for October, from North American semiconductor equipment book-to-bill September`s record low showing of 0.57 (see table), according to SEMI. Three-month average shipments in October were $844 million, 46% below the October 1997 level. Despite the October 1998 increase over September, SEMI president Stanley Myers said, "A full industry recovery may be several months away."
World News USA
In its third layoff this year, Lam Re-search, Fremont, CA, has cut about 500 positions, leaving its workforce at roughly 2800, down about 42% from the 4800 people it employed in September 1997.
World News Asia / Pacific
Johnson Matthey Electronics (JME) has opened a new sputtering target and thermocouple manufacturing plant in Taiwan`s Science Based Industrial Park. Don Miller, president of JME`s Wafer Fabrication Materials Group said his firm hopes the facility will permit more joint development work with Taiwan-based customers in both sputtering products and thermocouples, though the firm`s primary research operations will remain in Spokane, WA.
World News Europe
Back end equipment developer ESEC, Cham, Switzerland, is working with Siemens Microelectronics to develop a unified, open architecture for data collection and equipment control in packaging and test operations. Plans call for the Test, Assembly and Packaging Integrated Architecture (TAPIA) and Behavior-Based Equipment Model (BBEM) to be proposed for acceptance as SEMI standards. A pilot installation is slated for late this year at Siemens Components in Singapore.
World News Japan
Hitachi will begin mass production of 256-Mbit DRAMs at a plant in Hitachinaka, Ibaraki Prefecture. The plant will initially produce several tens of thousands of DRAMs/month, according to a press report by Kyodo News International. Within a year, the firm hopes to raise output to 500,000/month.
World News Rest of the world
Russia is reportedly planning to convert a dismantled nuclear facility at Krasnoyarsk in Siberia into a silicon crystal ingot manufacturing plant. The reportedly $150 million joint venture with India will also include a wafer manufacturing facility in India. The venture is expected to provide India with enough supply of silicon wafers to meet its defense chip requirements.
Tech News Device cross sectioning without losing product wafers
Partnering with Siemens, Micrion has developed and introduced its C3D metrology system that for the first time brings device cross sectioning capability onto the fab line, to be done on nonsacrificial product wafers. The hefty $1.6-$3 million price tag is offset by saving product wafers - reportedly up to 40/week at some fabs - that until now were pulled from production for destructive laboratory analysis.
Tech News Copper interconnect process module
Applied Materials has unveiled the first off-the-shelf offering from its process sequence integration division, a copper interconnect process module now in demonstration at its new equipment process integration center (EPIC).
Tech News Next-generation litho is the focus of Belgium conference
None of the post-optical next-generation lithography (NGL) technologies appears sufficiently more persuasive than others to warrant full industry commitment, but all of them probably deserve more academic attention. This was the focus of the Micro- and Nanoengineering 98 Conference held in Leuven, Belgium.
Tech News I300I makes 0.12-um lines with 248-nm exposure
In cooperation with several equipment suppliers, lithography engineers at SEMATECH`s International 300-mm Initiative - I300I - have achieved 0.12-?m lines and 0.24-?m spaces on both aluminum and bare silicon (see figure and table). At I300I, the combined efforts of the process support and metrology center (PSMC) lithography team and the pattern technology group completed this work. The significance of this milestone is that it is "next-node" lithography done with existing generation 248-nm wavel
Tech News Interface 98 focuses on realistic lithography
The 35th Interface Symposium on "best practices" in microlithography showed the effects of the semiconductor slowdown. The quality of the presentations was as high as ever, all of them on some aspect of optical lithography or its plausible extensions. The show convened in San Diego, CA, in November under the sponsorship of Olin Microelectronic Materials.
Tech News Its not the software its ZAP!
There is growing evidence that as many as 75% of system lockups and other glitches attributed to "software bugs," particularly for mature systems used in wafer fabrication, are caused by static discharge in a wafer fab. What is even more significant, a lockup at a given system may be caused by an electrostatic discharge (ESD) at a system "on the other side of the room."
|
FEATURES
Industry Insights Minifabs lower barriers to 300mm
Since 1994, the international community of chipmakers and equipment suppliers has been working toward the introduction of next-generation, 300-mm wafer processing. As a result of the collaboration, global standards were developed and potential conflicts resolved, paving the way for the industry`s 300-mm transition. The recent industry downturn, however, has cooled enthusiasm and delayed new fab decisions, casting doubt on the timing of the transition from 200- to 300-mm processing.
Industry Insights Manufacturing emissive displays
Each issue of Solid State Technology in 1999 will feature an article looking at one aspect of semiconductor/thin film processing for the year 2000 and beyond. Rather than simply focusing on obvious challenges, each article will discuss some likely solutions to critical problems in each technology area.
Feature Products Low k CVD dielectric film
Black Diamond is a production-worthy film for blanket applications that is easily integrated with existing process technologies, giving a low-cost, low-risk solution for emerging dual damascene copper/low k interconnect designs. Black Diamond will be introduced as a family of low-k dielectric films extending to a dielectric constant of k =2.4. The first product, BD27, has a dielectric constant of 2.7 and is designed for 0.18-?m technology. It will be available initially on the Centura platform
Feature Products Photomask metrology
The LWM 250 UV linewidth measurement system measures linewidths using ultraviolet light at 365 nm in transmitted light mode, or white light in either transmitted or reflected mode. The i-line measurement capability in transmitted light mode enhances resolution for features as small as 0.3 ?m. Measurement time in white light using the Autofocus System is <1 sec/feature. Windows-based software allows easy job setup and on-line or off-line programming for automatic measurements. The LWM 250 UV is e
Feature Products Shallow defect analyzer
This optical shallow defect analyzer, the OSDA-2000, finds defects by irradiating two laser beams of different wavelengths onto a silicon wafer surface, then detecting the scattered light created by the crystal defects inside the wafer. It is designed for nondestructive detection and measurement of defects as small as 0.02 ?m inside the wafer and 0.05 ?m on the surface. Typical defects found include grown-in defects, stacking faults, oxygen precipitation, crystal originated particles, polishing
Feature Products Process tool monitor
The AIT II is a system for patterned process tool monitoring for 0.18-?m geometries and below, with bridge capability to 300-mm wafers. By making possible the immediate detection of process tool excursions, it minimizes the number of wafers exposed to out-of-control conditions. The system incorporates production-proven double-darkfield technology, which combines low-angle illumination with low-angle collection optics. This provides superior defect capture by suppressing color variation and grain
Productivity How fabs can improve throughput, yields, and cycle times
After a few years of breathtaking growth, the semiconductor business actually declined in sales over the past year. Sharply lower average selling prices for DRAMs and many PC-related chips eroded revenues and slashed profits, even though unit shipments continued to grow. Worldwide fab construction almost ground to a halt, setting back the planned shift to 300-mm wafers and new tool sets. Instead, semiconductor producers are struggling to find ways to get more chips from their same facilities at
Productivity Process control through integrated metrology
In the past few years, several semiconductor manufacturers have attempted to use in situ sensors to improve productivity and control. In addition, several companies have formed to meet the needs of the industry by providing unique sensor-based solutions and applications assistance. The applications have varied in their level of success. An examination reveals that four elements are required for the development of a sensor-based controller:
Productivity Keeping analytical tools in line with NTRS goals: An industry challenge
As we enter the gigabit DRAM era, stringent demands for higher-performance materials characterization are prematurely pushing sophisticated and costly metrology tools into the manufacturing environment. In a climate of limited resources, one must learn to identify which of these tools are in the critical path of IC development and manufacturing. The SIA National Technology Roadmap for Semiconductors (NTRS) provides a framework from which to start [1, 2]. As useful as these roadmaps are, the anal
Productivity The expanding role of robots in process tools productivity
While the results of wafer processing are the most important criteria in a production process tool, productivity is clearly the second. Process tool automation - the suite of critical wafer-handling components (i.e., atmospheric and vacuum robots, elevators, loadlocks, and pod openers) that move wafers inside and out of wafer-processing tools - is a key ingredient of tool productivity.
Productivity Inventory modeling yields significant cycle-time improvements
Heuristic simulation modeling, specifically the 1-Step Ahead Minimum Inventory Variability Resource Scheduling Policy (MIVP),* has demonstrated productivity-improving reductions in cycle time in a wafer fab. Such fundamental and incremental improvements, while often difficult, can be extremely beneficial: an increase in production as small as 1% can potentially result in increased sales of $200,000-$300,000/month for a semiconductor manufacturer. The difficulty is that changing normal strategies
Productivity Semiconductor fabs move toward integrated processes delivered through suppliers
The drive to continue the semiconductor industry`s remarkable productivity rate increase is transforming the entire supply chain. Companies at all points along the "food chain" are expanding the scope of their activities, while attempting to remain focused on their core competencies. Three examples illustrate this trend toward a broader scope of involvement:
Productivity No productivity gains without IT planning
Productivity is defined as the rate at which goods or services are produced. Most semiconductor companies, however, have expanded this definition to include concepts of yield, scrap, inventory turns, and cycle time. Once the importance of the refined definition is understood, information technology (IT)-based systems are put in place to support the collection of data, so that meaning can be applied to the concepts. Feedback loops are added so that improvements can be based on information analysi
Productivity An example: Eatons approach
The trend to integrate processes and process tools is driving productivity and efficiency improvements and has motivated Eaton Semiconductor Equipment Operations to expand its product offering and knowledge base. In addition to the Implant Systems Division, with its full range of ion implantation capability, the Fusion Systems Division provides advanced photoresist processing solutions, including photostabilization and resist strip, and the Thermal Processing Systems Division offers both rapid s
|